Skip to content

tomas-fryza/vhdl-course

Repository files navigation

VHDL course

The repository contains VHDL lab exercises for bachelor course Digital Electronics at Brno University of Technology, Czechia. Nexys A7 Artix-7 FPGA board is used in the course.

vhdl_course

Installation

Exercises

  1. Introduction to VHDL and Vivado
  2. Combinational logic circuits
  3. Seven-segment display decoder
  4. Arithmetic circuits
  5. Binary counter
  6. LFSR counter
  7. FSM debouncer
  8. VHDL Project

List of VHDL examples

Components and tools

The following hardware and software components are mainly used in the lab.

References

  1. Digital electronics wiki

  2. How to install TerosHDL on Windows and Linux

  3. How to install ghdl on Windows and Linux

  4. How to install Vivado on Windows and Linux

  5. ModelSim Installation on Ubuntu

  6. ES 4 VHDL reference sheet

  7. Jeremiah C. Leary. Welcome to vhdl-style-guide's documentation!

  8. ASHENDEN, Peter J. The designer's guide to VHDL. 3rd ed. Boston: Morgan Kaufmann Publishers, c2008. ISBN 978-0-12-088785-9.

  9. CHU, Pong P. FPGA prototyping by VHDL examples. Hoboken, N.J.: Wiley-Interscience, c2008. ISBN 978-0-470-18531-5.

  10. MANO, M. Morris. Digital Design: With an Introduction to the Verilog, HDL, VHDL, and System Verilog. Pearson, 6th edition, 2018. ISBN-13: 978-1292231167.

  11. KALLSTROM, P. A Fairly Small VHDL Guide. Version 2.1.

  12. GitHub GIT CHEAT SHEET

  13. Online VHDL Testbench Template Generator

  14. VHDL Style Guide. Rules