Skip to content
#

gdsii

Here is 1 public repository matching this topic...

The objective is to take a simple counter design from the RTL (Register Transfer Level) stage to the GDSII format using Cadence tools with a 90nm Process Design Kit (PDK).. The tools used in this process include Xcelium for simulation and coverage analysis, Genus for synthesis, Innovus for physical design, and Pegasus/PVS for physical verification.

  • Updated Jul 13, 2024
  • Tcl

Improve this page

Add a description, image, and links to the gdsii topic page so that developers can more easily learn about it.

Curate this topic

Add this topic to your repo

To associate your repository with the gdsii topic, visit your repo's landing page and select "manage topics."

Learn more