Skip to content

Commit

Permalink
Debugging startup
Browse files Browse the repository at this point in the history
  • Loading branch information
Brad Robinson committed Sep 20, 2012
1 parent 548d024 commit fff8e23
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions cpu/moxielite.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -57,14 +57,14 @@ ARCHITECTURE behavior OF moxielite IS

-- Instruction decode
signal instruction : std_logic_vector(15 downto 0);
signal execute_state : state_type := state_reset;
signal execute_state : state_type;
signal aluop : aluop_type;
signal condition : condition_type;
signal addrmode : addrmode_type;
signal instruction_form : instruction_form_type;

-- State machine
signal state : state_type := state_fetch_pre;
signal state : state_type := state_reset;
signal state_next : state_type;
signal state_resolved : state_type;
signal has_imm : std_logic;
Expand Down

0 comments on commit fff8e23

Please sign in to comment.