Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Use metadata for SystemVerilog compilation when consuming source archives #139

Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
Original file line number Diff line number Diff line change
Expand Up @@ -23,6 +23,7 @@ import org.junit.rules.TemporaryFolder
import spock.lang.Ignore
import spock.lang.Specification

import java.nio.file.Files
import java.util.zip.ZipFile

import static org.gradle.testkit.runner.TaskOutcome.NO_SOURCE
Expand Down Expand Up @@ -962,4 +963,59 @@ class SystemVerilogPluginSpec extends Specification {
variants[0].get("attributes").get("com.verificationgentlenan.gradle.hdvl.usage").asText() == "HdvlSourcesArchive"
}

def "can consume source archive"() {
File dependencyProjectBuildFile = newStandardProject('dependency-project')
dependencyProjectBuildFile << """
plugins {
id 'maven-publish'
}

group = "org.example"
version = "1.0.0"

publishing {
repositories {
maven {
name = 'dummy'
url = layout.buildDirectory.dir('dummy-repo')
}
}
}
"""

GradleRunner.create()
.withProjectDir(dependencyProjectBuildFile.parentFile)
.withPluginClasspath()
.withArguments(':publish')
.build()

File mainProjectBuildFile = newStandardProject('main-project')
mainProjectBuildFile << """
dependencies {
compile 'org.example:dependency-project:1.0.0'
}

repositories {
maven {
url = layout.projectDirectory.dir('../dependency-project/build/dummy-repo')
}
}
"""

when:
def result = GradleRunner.create()
.withProjectDir(mainProjectBuildFile.parentFile)
.withPluginClasspath()
.withDebug(true)
.withArguments(':genFullXrunArgsFile')
.build()

then:
def lines = new File(mainProjectBuildFile.parentFile, 'build/full_xrun_args.f').text.split("\n")
def xrunArgsForDependencyProject = new File(lines[0].split(/\s+/)[1])
Files.lines(xrunArgsForDependencyProject.toPath()).anyMatch { line ->
line.endsWith 'src/main/sv/dependency-project.sv'
}
}

}
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,14 @@
import org.gradle.api.artifacts.transform.TransformParameters;
import org.gradle.api.file.*;
import org.gradle.api.provider.Provider;
import org.w3c.dom.Document;
import org.w3c.dom.NodeList;
import org.xml.sax.SAXException;

import javax.xml.parsers.DocumentBuilder;
import javax.xml.parsers.DocumentBuilderFactory;
import javax.xml.parsers.ParserConfigurationException;
import javax.xml.xpath.*;
import java.io.BufferedWriter;
import java.io.File;
import java.io.FileWriter;
Expand All @@ -20,13 +27,42 @@ public abstract class WriteXrunArgsFile implements TransformAction<TransformPara
public void transform(TransformOutputs outputs) {
File input = getInputArtifact().get().getAsFile();
File xrunArgsFile = outputs.file(input.getName() + ".xrun_args.f");
writeXrunArgsFile(input, xrunArgsFile);
File[] svSourceFiles = getSvSourceFiles(input);
writeXrunArgsFile(xrunArgsFile, svSourceFiles);
}

private static void writeXrunArgsFile(File input, File xrunArgsFile) {
private static File[] getSvSourceFiles(File input) {
File compileSpec = new File(input, ".gradle-hdvl/compile-spec.xml");

DocumentBuilderFactory factory = DocumentBuilderFactory.newInstance();
try {
DocumentBuilder builder = factory.newDocumentBuilder();
Document doc = builder.parse(compileSpec);

XPathFactory xPathfactory = XPathFactory.newInstance();
XPath xpath = xPathfactory.newXPath();

XPathExpression expr = xpath.compile("/compileSpec/svSourceFiles/svSourceFile");
NodeList svSourceFiles = (NodeList) expr.evaluate(doc, XPathConstants.NODESET);
assert svSourceFiles != null;

File[] result = new File[svSourceFiles.getLength()];
for (int i = 0; i < svSourceFiles.getLength(); i++) {
result[i] = new File(input, svSourceFiles.item(i).getTextContent());
assert result[i].isAbsolute() : "not absolute: " + result[i];
assert result[i].exists() : "doesn't exist: " + result[i];
}
return result;
} catch (ParserConfigurationException | SAXException | IOException | XPathExpressionException e) {
throw new RuntimeException(e);
}
}

private static void writeXrunArgsFile(File xrunArgsFile, File[] svSourceFiles) {
try (BufferedWriter writer = new BufferedWriter(new FileWriter(xrunArgsFile, true))) {
writer.write("-makelib worklib\n");
writer.write(" " + input + "/src/main/sv/*.sv\n"); // FIXME Assumes source in conventional location
for (File svSourceFile : svSourceFiles)
writer.write(" " + svSourceFile + "\n");
writer.write("-endlib\n");
}
catch (IOException ex) {
Expand Down
Loading