Skip to content

Releases: zeroasiccorp/switchboard

v0.2.8

19 Jun 23:22
1e816d8
Compare
Choose a tag to compare

What's Changed

  • Bump pypa/cibuildwheel from 2.19.0 to 2.19.1 in the actions group by @dependabot in #241
  • Fix automatic naming of queues for TCP connections with multiple destinations by @sgherbst in #242

Full Changelog: v0.2.7...v0.2.8

v0.2.7

15 Jun 00:36
39a80d2
Compare
Choose a tag to compare

switchboard 0.2.7 (2024-06-14)

Major:

  1. Be able to tie off inputs at runtime via plusargs #240

v0.2.6

31 May 22:13
28cfd85
Compare
Choose a tag to compare

switchboard 0.2.6 (2024-05-31)

Major:

  1. Make it possible to connect() to TCP interfaces

v0.2.5

31 May 15:42
2292247
Compare
Choose a tag to compare

switchboard 0.2.5 (2024-05-31)

Minor:

  1. Fix SWITCHBOARD_SIM_PORT

v0.2.4

29 May 20:00
b0dfa5a
Compare
Choose a tag to compare

switchboard 0.2.4 (2024-05-29)

Major:

  1. GPIO connection feature in single-netlist mode (#228). It is now possible to connect() GPIO buses to other GPIO buses and/or constants in the same SbNetwork, provided that single-netlist mode is being used.

v0.2.3

24 May 19:11
0fdd11b
Compare
Choose a tag to compare

switchboard 0.2.3 (2024-05-24)

Minor:

  1. Fix FST mode

v0.2.2

20 May 18:34
68ccafe
Compare
Choose a tag to compare

switchboard 0.2.2 (2024-05-20)

Major:

  1. First pass of the single netlist feature (#223), where switchboard networks can be run in one RTL simulation. More generally, subnetworks can be run in single RTL simulations, with these simulations combined in a top-level switchboard network, allowing simulations to be "right-sized" for maximum performance.

v0.2.1

11 May 00:51
a62e3bd
Compare
Choose a tag to compare

switchboard 0.2.1 (2024-05-10)

Minor:

  1. Fix name collision in SbNetwork.connect

v0.2.0

09 May 17:34
b0a8be8
Compare
Choose a tag to compare

switchboard 0.2.0 (2024-05-09)

Major:

  1. autowrap feature for SbDut that automatically generates Verilog wrapper code
  2. SbNetwork class allowing for the dynamic construction of networks of simulations
  3. Rate limiting: specify --max-rate X / max_rate=X

v0.1.0

18 Apr 17:06
afcf292
Compare
Choose a tag to compare

switchboard 0.1.0 (2024-04-18)

Major:

  • Cleanup to simplify example code and make it easier to modify #210
    • Includes new macros that reduce common operations to a single line of Verilog
    • Also includes a cmdline=True option for SbDut that avoids the need to recreate a command-line parser for each place that switchboard is used.