Skip to content

Releases: VUnit/vunit

4.7.0

23 Apr 18:23
Compare
Choose a tag to compare

4.6.0

25 Oct 19:02
Compare
Choose a tag to compare
  • Add Python 3.9 and 3.10 to classifiers.
  • Use MAJOR and MINOR constants to check supported Python version. (#724)
  • Fix pylint issues.
  • Use f-strings for string formatting. (#743, #747)
  • Specify encoding when using 'open'. (#748)
  • Set black line-length to 120 characters. (#736)
  • Use Path from pathlib, instead of open().
  • Add support for log location based on VHDL-2019 call paths. (#729)
  • GHDL supports VHDL package generics. (#753)
  • Bump OSVVM to 2021.09.
  • [Tox] Use pytest for collecting coverage, add py310.
  • [Tests] mark array_axis_vcs and verilog_ams examples as xfail. (#751)
  • [Logging/log_deprecated_pkg] fix compilation issues with Cadence tools. (#731)
  • [Parsing/tokenizer] partial revert of 5141f7c (#735, #745)
  • [UI] make glob search recursive by default.
  • [VCs] bugfix AXI stream slave nonblocking check. (#720)
  • [Examples] add shebang to run scripts. (#738)
  • [Example/vhdl/user_guide] add VHDL 1993 variant, clean use statements, skip in acceptance tests if VHDL context not supported. (#737)
  • [Examples/vhdl/array_axis_vcs] Fix PSL check for valid fifo in data during write. (#750, #766)
  • [Docs] bump sphinx_btd_theme to v1, revert temporary pinning of Sphinx and docutils, remove redundant delete message call from com user guide example, fix ref to Travis CI (deprecated) (GitHub Actions is used now), add section about envvars, document VUNIT_VHDL_STANDARD, use 'exec' directive to generate content in examples, update 'Credits and License', add refs to Tratex. (#730, #739, #761)
  • [CI] add emojis/icons, avoid deployments from forks, fix deploy condition event, add job using setup-ghdl-ci, update images from Debian Buster to Debian Bullseye, do not overload image tags.

4.5.0

21 May 14:46
Compare
Choose a tag to compare
  • Update year and update license test to 2021.
  • Bump OSVVM. (#712)
  • Support Python 3.9.
  • Call supports_coverage() rather than returning method object. (#638)
  • Do not use relpath when printing output file. (#661)
  • Make runner.create_output_path a member of TestRunner class and reanme to _get_output_path. (#682)
  • Update check_stable to handle longer time frames. (#636)
  • Add check_equal for character. (#721, #722)
  • Update .gitignore. (#641)
  • Resolve ambiguity between VUnit's line_vector type and the new standard line_vector type in VHDL-2019. (#664)
  • [Tests] Use str for params to self.check, reduce 'many_keys' to avoid failure with latest GHDL.
  • [Docs] Travis is not used for releases, use autodoc_default_options instead of (deprecated) autodoc_default_flags, fix duplicated content and index of vunit_cli, add intersphinx mapping to docs.python.org, update 'Credits' and 'License', use buildthedocs/sphinx.theme, replace LICENSE.txt with LICENSE.rst, replace README.rst with README.md, move 'Requirements' from 'About' to 'Installing', add captioned toctrees, use admonitions, move CI out from CLI and update content, add blog post on continuous integration, clarify that GHDL is a rolling project. (#694)
  • [Tools] raise exception if git not available when creating release notes.
  • [Example/vhdl/array_axis_vcs] Update, expand procedure run_test, add stall functionality. (#648)
  • [UI] Fix not serializable path when exporting JSON. (#657)
  • [Tox] add pyproject.toml, use isolated_build, merge tox.ini into pyproject.yml.
  • [Setup] Ensure that the source tree is on the sys path.
  • [RivieraPro] Fix coverage merge error. (#675)
  • [RivieraPro] handle empty macro. (#681)
  • [RivieraPro] Update VHDL version option in command line interface to work with version 2020.04 and above. (#664)
  • [VCs] Add null AXI stream master and slave constants.
  • [VCs] Fix bug in AXI stream protocol checker rule 4.
  • [VCs] Add ability to define the actor on new_axi_slave function. (#709)
  • [VCs] Push avalon master read req msg one cycle earlier. (#695, #696)
  • [VCs] Fix broken msg passing in wishbone master. (#692, #693)
  • [CI] Update container registry, use ghcr.io.
  • [CI] Pin Sphinx and docutils version to work around theme issues.

4.4.0

26 Mar 19:43
Compare
Choose a tag to compare
  • Update year and update license test to 2020.
  • Bump OSVVM to latest version.
  • Add possibility to configure random stalls for AXI Stream. (#557)
  • JSON-for-VHDL: use base16 encodings. (#595)
  • First release requiring Python 3.6 or higher. Python 2.7, 3.4 and 3.5 are not supported anymore. (#596, #601)
  • Start adding type annotations to the Python sources; add mypy (a static type checker) to the list of linters. (#601, #626)
  • Move co-simulation (VHPIDIRECT) sources (implementation and example) to VUnit/cosim. (#606)
  • ghdl interface: with ghdl_e, save runtime args to JSON file. (#606)
  • Add missing mode assertions to -93 sources of integer_vector_ptr and string_ptr. (#607)
  • Add method get_simulator_name() to public Python API. (#610)
  • Start replacing join, dirname, etc. with pathlib. (#612, #626, #632)
  • Fix parsing adjacent hyphens in a literal. (#616)
  • Fix ghdl.flags error in documentation. (#620)
  • Rename compile option ghdl.flags to ghdl.a_flags. (#624)
  • Move project.Library to separate file.
  • Remove Travis CI and AppVeyor, use GitHub Actions only.
  • Remove Sphinx extension ABlog; handle posts as regular pages in subdir blog.
  • Update GHDL to v0.37 in Windows CI jobs.
  • Fix regression in GHDL (prefix of array attribute must be an object name). (#631, #635)
  • Add code coverage support for GHDL. (#627)

4.3.0

30 Nov 03:54
Compare
Choose a tag to compare
  • Fix broken vhdl_standard setting in some situations. (#594)
  • Add 'external modes' (VHPIDIRECT) to string_ptr and integer_vector_ptr; add byte_vector_prt too. (#507, #476)
  • Add report data to Results object/class. (#586)
  • Use a Python formatter: psf/black. (#554)
  • Refactor vunit/ui, vunit/sim_if, vunit/test and tests. (#572, #582)
  • Deprecate array_pkg. It will be removed in future releases. Use integer_array_pkg instead. (#593)
  • Python 3.4 reached End-of-life in 2019-03-18 and it is no longer tested. Support is expected to break in future releases.
  • Add support for Python 3.8.
  • Deprecate Python 2.7. This is the last release supporting Python 2 and Python 3. Upcoming releases will be for Python 3 only.

4.2.0

13 Oct 03:41
Compare
Choose a tag to compare
  • Add -m/--minimal flag to only compile what is necessary for selected tests.
  • Fix axi_stream VC for 0-length tid/tdest/tuser.
  • Fix work reference for non-lower case library names. (#556)
  • Add init_files.before_run hook to RivieraPRO and ModelSim.
  • Do not add extra quotes when invoking a gtkwave subprocess. (#563)

4.1.0

29 Sep 08:34
Compare
Choose a tag to compare
  • Enhancements to Stream VCI and AXI Stream VCs. (#420, #422, #429, #483)
  • Add option 'overwrite' to set_sim_option. (#471)
  • ActiveHDL: add code coverage support. (#461)
  • GtkWave: add sim option 'ghdl.init_file.gui'. (#459)
  • GHDL: add boolean option ghdl.elab_e, to execute 'ghdl -e' only. (#467)
  • GHDL: with VHDL 2008 nonzero return values produce a fail. (#469)
  • Add experimental VHDL 2019 support. (#549)

4.0.8

28 Sep 00:32
Compare
Choose a tag to compare
  • Fix vivado submodule missing from release. (#415)
  • Add support for checking AXI response in axi_lite_master
  • Fix bug with coverage flag not working with unique-sim in rivierapro
  • Support for Avalon-MM burst transfers
  • Unsure LICENSE_QUEUE environment variable is in effect for RivieraPRO

v0.51.3

28 Jan 20:53
Compare
Choose a tag to compare

Removed srl overloading to work with Cadence Incisive.

0.51.2

28 Jan 19:18
Compare
Choose a tag to compare
  • Added warnings for zero test, except for when doing --compile.