Skip to content

5l1v3r1/fpga-toolchain

 
 

Repository files navigation

FPGA-Toolchain

Build Status Discord

Introduction

Multi-platform nightly builds of open source FPGA tools.

Currently included:

  • Yosys: RTL synthesis with extensive Verilog 2005 support
  • GHDL Yosys Plugin: experimental VHDL synthesis, built in to Yosys for your convenience!
  • GHDL: CLI tool supporting the Yosys plugin
  • Project Trellis: Tools for working with Lattice ECP5 bitstreams
  • Project IceStorm: Tools for working with Lattice ICE40 bitstreams
  • nextpnr: Timing-driven place and route for both ICE40 and ECP5 architectures
  • Icarus Verilog: Verilog simulation tool
  • dfu-util: Device Firmware Upgrade Utilities
  • ecpprog: A basic driver for FTDI based JTAG probes, to program ECP5 FPGAs

These tools are under active development (as are these build scripts), so please be prepared for things to break from time to time. In most cases you should be able to roll back to an older version while you wait for a fix.

Builds run at 0400 UTC daily from the master branch of each project.

Installation

  1. Download an archive matching your OS from the releases page.
  2. Extract the archive to a location of your choice
  3. Add the bin folder to your PATH.
  4. (optional, not needed on Windows) If you would like to use the experimental GHDL Yosys plugin for VHDL, you will need to set the GHDL_PREFIX environment variable. e.g. export GHDL_PREFIX=<install_dir>/fpga_toolchain/lib/ghdl

If you see errors about missing libraries (.so/.dll/.dylib) please report them in an issue here.

Getting Help

If you run into issues with these tools, please consider reporting an issue to the authors of the tools - we are just compiling them here! If you think your issue relates to the way we have compiled them then it is more appropriate to open a GitHub issue here.

If you aren't sure where to report your issue, you can also try sending a message in the #open-tool-forge channel on 1BitSquared's Discord server

Credits

This is built on the work done by Sean Cross (xobs) for fomu-toolchain, which was built on the original work by FPGAWars:

Development

Build:

bash build.sh linux_x86_64

Clean:

bash clean.sh linux_x86_64

Target architectures:

  • linux_x86_64
  • windows_amd64
  • darwin

Final packages will be deployed in the _packages/build_ARCH/ directories.

NOTE: libftdi1.a and libusb-1.0.a files have been generated for Linux using the Tools-system scripts to allow static linking without a dependency on libudev (which is part of systemd and doesn't make for very portable binaries).

License

Licensed under a GPL v3 and Creative Commons Attribution-ShareAlike 4.0 International License.

About

Multi-platform nightly builds of open source FPGA tools

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • C 69.6%
  • Shell 30.2%
  • Python 0.2%