Skip to content

Commit

Permalink
kernel: V4L2: Correct flag settings for compressed formats
Browse files Browse the repository at this point in the history
See: http://www.raspberrypi.org/phpBB3/viewtopic.php?f=43&t=62364&start=125#p479046

kernel: Fix for oops in snd-bcm2835
See: raspberrypi/linux#478

firmware: Fix for camera failing to init due to unused vlls

firmware: MJPEG Encoder: Allocate conv image from reloc heap
See: http://www.raspberrypi.org/phpBB3/viewtopic.php?f=43&t=62364&start=125#p478922

firmware: camera: Fix for early finishing of zooming - code was only checking that width destination was being reached, not height destination. Only causes a problem if more zooming was required for height than width (i.e. different zoom factors).
See: raspberrypi/userland#86

raspicam: Added -sn flag to set initial segment number.
See: raspberrypi/userland#125

raspicam: colfx options not working correctly
See: raspberrypi/userland#130
  • Loading branch information
Dom Cobley committed Jan 2, 2014
1 parent 2ef601a commit a4f4d9a
Show file tree
Hide file tree
Showing 30 changed files with 0 additions and 0 deletions.
Binary file modified fixup.dat
Binary file not shown.
Binary file modified fixup_x.dat
Binary file not shown.
Binary file modified kernel.img
Binary file not shown.
Binary file modified kernel_emergency.img
Binary file not shown.
Binary file modified modules/3.10.25+/kernel/drivers/i2c/busses/i2c-bcm2708.ko
Binary file not shown.
Binary file not shown.
Binary file modified modules/3.10.25+/kernel/sound/arm/snd-bcm2835.ko
Binary file not shown.
Binary file modified start.elf
Binary file not shown.
Binary file modified start_cd.elf
Binary file not shown.
Binary file modified start_x.elf
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/bin/raspistill
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/bin/raspivid
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/bin/raspiyuv
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/lib/libEGL_static.a
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/lib/libGLESv2_static.a
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/lib/libkhrn_client.a
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/lib/libkhrn_static.a
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/lib/libvcfiled_check.a
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/lib/libvchostif.a
Binary file not shown.
Binary file modified vc/hardfp/opt/vc/lib/libvmcs_rpc_client.a
Binary file not shown.
Binary file modified vc/softfp/opt/vc/bin/raspistill
Binary file not shown.
Binary file modified vc/softfp/opt/vc/bin/raspivid
Binary file not shown.
Binary file modified vc/softfp/opt/vc/bin/raspiyuv
Binary file not shown.
Binary file modified vc/softfp/opt/vc/lib/libEGL_static.a
Binary file not shown.
Binary file modified vc/softfp/opt/vc/lib/libGLESv2_static.a
Binary file not shown.
Binary file modified vc/softfp/opt/vc/lib/libkhrn_client.a
Binary file not shown.
Binary file modified vc/softfp/opt/vc/lib/libkhrn_static.a
Binary file not shown.
Binary file modified vc/softfp/opt/vc/lib/libvcfiled_check.a
Binary file not shown.
Binary file modified vc/softfp/opt/vc/lib/libvchostif.a
Binary file not shown.
Binary file modified vc/softfp/opt/vc/lib/libvmcs_rpc_client.a
Binary file not shown.

0 comments on commit a4f4d9a

Please sign in to comment.