Skip to content

Commit

Permalink
flow: update rules based on new golden reference
Browse files Browse the repository at this point in the history
  • Loading branch information
github-actions[bot] committed Apr 11, 2024
1 parent 7585802 commit 636d62d
Show file tree
Hide file tree
Showing 40 changed files with 166 additions and 166 deletions.
2 changes: 1 addition & 1 deletion flow/designs/asap7/aes-block/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -48,7 +48,7 @@
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 322,
"value": 100,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
Expand Down
6 changes: 3 additions & 3 deletions flow/designs/asap7/aes/rules-base.json
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{
"synth__design__instance__area__stdcell": {
"value": 1943.72,
"value": 1942.34,
"compare": "<="
},
"constraints__clocks__count": {
Expand Down Expand Up @@ -36,11 +36,11 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -160.59,
"value": -125.43,
"compare": ">="
},
"finish__design__instance__area": {
"value": 2250,
"value": 2244,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
Expand Down
4 changes: 2 additions & 2 deletions flow/designs/asap7/aes_lvt/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -36,7 +36,7 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -155.52,
"value": -109.67,
"compare": ">="
},
"finish__design__instance__area": {
Expand All @@ -52,7 +52,7 @@
"compare": "<="
},
"finish__timing__wns_percent_delay": {
"value": -37.32,
"value": -29.57,
"compare": ">="
}
}
4 changes: 2 additions & 2 deletions flow/designs/asap7/ethmac/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -36,7 +36,7 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -134.5,
"value": -58.16,
"compare": ">="
},
"finish__design__instance__area": {
Expand All @@ -52,7 +52,7 @@
"compare": "<="
},
"finish__timing__wns_percent_delay": {
"value": -17.37,
"value": -16.68,
"compare": ">="
}
}
4 changes: 2 additions & 2 deletions flow/designs/asap7/ethmac_lvt/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -36,7 +36,7 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -106.81,
"value": -17.71,
"compare": ">="
},
"finish__design__instance__area": {
Expand All @@ -52,7 +52,7 @@
"compare": "<="
},
"finish__timing__wns_percent_delay": {
"value": -25.06,
"value": -10.0,
"compare": ">="
}
}
8 changes: 4 additions & 4 deletions flow/designs/asap7/gcd/rules-base.json
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{
"synth__design__instance__area__stdcell": {
"value": 43.85,
"value": 43.75,
"compare": "<="
},
"constraints__clocks__count": {
Expand Down Expand Up @@ -28,23 +28,23 @@
"compare": "<="
},
"detailedroute__route__wirelength": {
"value": 1412,
"value": 1410,
"compare": "<="
},
"detailedroute__route__drc_errors": {
"value": 0,
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -55.02,
"value": -48.16,
"compare": ">="
},
"finish__design__instance__area": {
"value": 54,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
"value": 25,
"value": 22,
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
Expand Down
14 changes: 7 additions & 7 deletions flow/designs/asap7/jpeg/rules-base.json
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{
"synth__design__instance__area__stdcell": {
"value": 7270.36,
"value": 7211.47,
"compare": "<="
},
"constraints__clocks__count": {
Expand All @@ -12,19 +12,19 @@
"compare": "<="
},
"placeopt__design__instance__count__stdcell": {
"value": 63227,
"value": 62323,
"compare": "<="
},
"detailedplace__design__violations": {
"value": 0,
"compare": "=="
},
"cts__design__instance__count__setup_buffer": {
"value": 5498,
"value": 5419,
"compare": "<="
},
"cts__design__instance__count__hold_buffer": {
"value": 5498,
"value": 5419,
"compare": "<="
},
"detailedroute__route__wirelength": {
Expand All @@ -36,23 +36,23 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -487.43,
"value": -391.85,
"compare": ">="
},
"finish__design__instance__area": {
"value": 7598,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
"value": 2921,
"value": 2710,
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 100,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
"value": -40.85,
"value": -34.99,
"compare": ">="
}
}
14 changes: 7 additions & 7 deletions flow/designs/asap7/jpeg_lvt/rules-base.json
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{
"synth__design__instance__area__stdcell": {
"value": 7232.72,
"value": 7228.06,
"compare": "<="
},
"constraints__clocks__count": {
Expand All @@ -12,19 +12,19 @@
"compare": "<="
},
"placeopt__design__instance__count__stdcell": {
"value": 62412,
"value": 62352,
"compare": "<="
},
"detailedplace__design__violations": {
"value": 0,
"compare": "=="
},
"cts__design__instance__count__setup_buffer": {
"value": 5427,
"value": 5422,
"compare": "<="
},
"cts__design__instance__count__hold_buffer": {
"value": 5427,
"value": 5422,
"compare": "<="
},
"detailedroute__route__wirelength": {
Expand All @@ -36,23 +36,23 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -518.83,
"value": -239.92,
"compare": ">="
},
"finish__design__instance__area": {
"value": 7549,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
"value": 3111,
"value": 2711,
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 100,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
"value": -42.1,
"value": -25.48,
"compare": ">="
}
}
2 changes: 1 addition & 1 deletion flow/designs/asap7/mock-alu/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -28,7 +28,7 @@
"compare": "<="
},
"detailedroute__route__wirelength": {
"value": 62654,
"value": 62649,
"compare": "<="
},
"detailedroute__route__drc_errors": {
Expand Down
6 changes: 3 additions & 3 deletions flow/designs/asap7/mock-cpu/rules-base.json
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{
"synth__design__instance__area__stdcell": {
"value": 7302.54,
"value": 7302.52,
"compare": "<="
},
"constraints__clocks__count": {
Expand Down Expand Up @@ -36,7 +36,7 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -23.39,
"value": -18.52,
"compare": ">="
},
"finish__design__instance__area": {
Expand All @@ -48,7 +48,7 @@
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 258,
"value": 130,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
Expand Down
6 changes: 3 additions & 3 deletions flow/designs/asap7/riscv32i/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -36,23 +36,23 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -636.26,
"value": -269.91,
"compare": ">="
},
"finish__design__instance__area": {
"value": 3052,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
"value": 1122,
"value": 1015,
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 100,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
"value": -25.14,
"value": -21.58,
"compare": ">="
}
}
14 changes: 7 additions & 7 deletions flow/designs/asap7/uart/rules-base.json
Original file line number Diff line number Diff line change
@@ -1,18 +1,18 @@
{
"synth__design__instance__area__stdcell": {
"value": 79.83,
"value": 78.69,
"compare": "<="
},
"constraints__clocks__count": {
"value": 1,
"compare": "=="
},
"placeopt__design__instance__area": {
"value": 87,
"value": 86,
"compare": "<="
},
"placeopt__design__instance__count__stdcell": {
"value": 761,
"value": 760,
"compare": "<="
},
"detailedplace__design__violations": {
Expand All @@ -28,23 +28,23 @@
"compare": "<="
},
"detailedroute__route__wirelength": {
"value": 1636,
"value": 1580,
"compare": "<="
},
"detailedroute__route__drc_errors": {
"value": 0,
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -37.5,
"value": -33.46,
"compare": ">="
},
"finish__design__instance__area": {
"value": 92,
"value": 90,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
"value": 46,
"value": 33,
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
Expand Down
4 changes: 2 additions & 2 deletions flow/designs/gf180/aes-hybrid/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@
"compare": "=="
},
"placeopt__design__instance__area": {
"value": 512885,
"value": 510901,
"compare": "<="
},
"placeopt__design__instance__count__stdcell": {
Expand Down Expand Up @@ -40,7 +40,7 @@
"compare": ">="
},
"finish__design__instance__area": {
"value": 491871,
"value": 458773,
"compare": "<="
},
"finish__timing__drv__setup_violation_count": {
Expand Down
Loading

0 comments on commit 636d62d

Please sign in to comment.