Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

xics: Fix warning when comparing two std_ulogic_vectors #357

Merged
merged 1 commit into from Mar 15, 2022
Merged

Conversation

antonblanchard
Copy link
Owner

Use unsigned() to make it clear what we are doing.

Signed-off-by: Anton Blanchard anton@linux.ibm.com

Use unsigned() to make it clear what we are doing.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
@mikey mikey merged commit 9b96ab7 into master Mar 15, 2022
@antonblanchard antonblanchard deleted the xics-warning branch June 15, 2022 03:12
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants