Skip to content

Commit

Permalink
build: fix namespace
Browse files Browse the repository at this point in the history
Signed-off-by: Esteve Fernandez <esteve.fernandez@tier4.jp>
  • Loading branch information
esteve committed Mar 27, 2024
1 parent e3c380e commit 3b010b6
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 0 deletions.
2 changes: 2 additions & 0 deletions planning/behavior_velocity_template_module/src/scene.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,8 @@ namespace autoware
namespace behavior_velocity_planner
{

using ::behavior_path_planner::StopReason;

TemplateModule::TemplateModule(
const int64_t module_id, const rclcpp::Logger & logger, const rclcpp::Clock::SharedPtr clock)
: SceneModuleInterface(module_id, logger, clock)
Expand Down
1 change: 1 addition & 0 deletions planning/behavior_velocity_template_module/src/scene.hpp
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ namespace autoware
namespace behavior_velocity_planner
{
using autoware_auto_planning_msgs::msg::PathWithLaneId;
using ::behavior_path_planner::StopReason;

class TemplateModule : public SceneModuleInterface
{
Expand Down

0 comments on commit 3b010b6

Please sign in to comment.