Skip to content

boynoiz/pattp.dev

Repository files navigation

My Personal Web Blog

This project use Zola the static generator engine

License

Distributed under the MIT License. See LICENSE for more information.

Licensed Features

Izy by VV0JC13CH (MIT)

blow was used as a starting 'zola theme' template for this theme.

  • deployment pipeline (package.json, postcss.config.js)
  • integration with tailwindcss (tailwind.config.js)
  • core animations (lang.js, search.js, page.js, main.js)

tailwind-nextjs-starter-blog was used as a starting 'tailwindcss' template for this theme.

  • origin of used tailwindcss classes and whole "look"
  • tags, categories view
  • navbar, footer
  • search animation and elasticlunr code in search.js

Contact

Inspirations: