Skip to content

Commit

Permalink
Add: Screenshots for part 1 and 2
Browse files Browse the repository at this point in the history
  • Loading branch information
cadesalaberry committed Feb 12, 2014
1 parent 868999b commit 5b81437
Show file tree
Hide file tree
Showing 72 changed files with 3,200 additions and 906 deletions.
4 changes: 2 additions & 2 deletions lab2/db/g23_lab2.asm.qmsg
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Info: Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version " "Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 11 17:28:42 2014 " "Info: Processing started: Tue Feb 11 17:28:42 2014" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Info: Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version " "Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 11 18:40:04 2014 " "Info: Processing started: Tue Feb 11 18:40:04 2014" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off g23_lab2 -c g23_lab2 " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off g23_lab2 -c g23_lab2" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Info: Writing out detailed assembly data for power analysis" { } { } 0 0 "Writing out detailed assembly data for power analysis" 0 0 "" 0 -1}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "275 " "Info: Peak virtual memory: 275 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 11 17:28:45 2014 " "Info: Processing ended: Tue Feb 11 17:28:45 2014" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Info: Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "275 " "Info: Peak virtual memory: 275 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 11 18:40:06 2014 " "Info: Processing ended: Tue Feb 11 18:40:06 2014" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
Binary file modified lab2/db/g23_lab2.asm.rdb
Binary file not shown.
Binary file added lab2/db/g23_lab2.asm_labs.ddb
Binary file not shown.
6 changes: 5 additions & 1 deletion lab2/db/g23_lab2.cbx.xml
Original file line number Diff line number Diff line change
@@ -1,6 +1,10 @@
<?xml version="1.0" ?>
<LOG_ROOT>
<PROJECT NAME="g23_lab2">
<CBX_INST_ENTRY INSTANCE_NAME="|g23_binary_to_BCD|LPM_ROM:b2BCD_table|altrom:srom|altsyncram:rom_block" CBX_FILE_NAME="altsyncram_5311.tdf"/>
<CBX_INST_ENTRY INSTANCE_NAME="|g23_dayseconds|LPM_ADD_SUB:lpm_add_sub_component" CBX_FILE_NAME="add_sub_33j.tdf"/>
<CBX_INST_ENTRY INSTANCE_NAME="|g23_dayseconds|LPM_MULT:lpm_mult_component_2|multcore:mult_core|mpar_add:padder|LPM_ADD_SUB:adder[0]" CBX_FILE_NAME="add_sub_3dh.tdf"/>
<CBX_INST_ENTRY INSTANCE_NAME="|g23_dayseconds|LPM_MULT:lpm_mult_component_2|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add|LPM_ADD_SUB:adder[0]" CBX_FILE_NAME="add_sub_7dh.tdf"/>
<CBX_INST_ENTRY INSTANCE_NAME="|g23_dayseconds|LPM_ADD_SUB:lpm_add_sub_component_2" CBX_FILE_NAME="add_sub_93j.tdf"/>
<CBX_INST_ENTRY INSTANCE_NAME="|g23_dayseconds|LPM_MULT:lpm_mult_component|multcore:mult_core|mpar_add:padder|LPM_ADD_SUB:adder[0]" CBX_FILE_NAME="add_sub_3dh.tdf"/>
</PROJECT>
</LOG_ROOT>
Binary file added lab2/db/g23_lab2.cmp.bpm
Binary file not shown.
Binary file added lab2/db/g23_lab2.cmp.cdb
Binary file not shown.
Binary file added lab2/db/g23_lab2.cmp.hdb
Binary file not shown.
Loading

0 comments on commit 5b81437

Please sign in to comment.