Skip to content

Commit

Permalink
fixup! fixup! More FIRRTL 3.0.0 updates
Browse files Browse the repository at this point in the history
  • Loading branch information
seldridge committed Jun 21, 2023
1 parent 16dcbd7 commit cb19f5e
Showing 1 changed file with 0 additions and 1 deletion.
1 change: 0 additions & 1 deletion src/test/scala/chiselTests/RecordSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -148,7 +148,6 @@ class RecordSpec extends ChiselFlatSpec with Utils {
e.getMessage should include("contains aliased fields named (bar,foo)")
}


they should "follow UInt serialization/deserialization API" in {
assertTesterPasses { new RecordSerializationTest }
}
Expand Down

0 comments on commit cb19f5e

Please sign in to comment.