Skip to content

Commit

Permalink
f4pga/flows: update part_db.yml
Browse files Browse the repository at this point in the history
Co-Authored-By: Unai Martinez-Corral <umartinezcorral@antmicro.com>
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
  • Loading branch information
kboronski-ant and umarcor committed Aug 29, 2022
1 parent 3d12d37 commit 5257e9b
Showing 1 changed file with 49 additions and 0 deletions.
49 changes: 49 additions & 0 deletions f4pga/flows/part_db.yml
Original file line number Diff line number Diff line change
Expand Up @@ -45,3 +45,52 @@ ql-k4n8_slow:

ql-k4n8_fast:
- K4N8_FAST

ice40:
- ICE40LP1K-CB121
- ICE40LP1K-CB81
- ICE40LP4K-CM225
- ICE40LP8K-CM225
- ICE40LP1K-CM121
- ICE40LP4K-CM121
- ICE40LP8K-CM121
- ICE40LP384-CM36
- ICE40LP1K-CM36
- ICE40LP384-CM49
- ICE40LP1K-CM49
- ICE40LP1K-CM81
- ICE40LP4K-CM81
- ICE40LP8K-CM81
- ICE40LP1K-QN84
- ICE40LP384-SG32
- ICE40LP640-SWG16
- ICE40LP1K-SWG16
- ICE40LP384-VQ100
- ICE40LP640-VQ100
- ICE40LP1K-VQ100
- ICE40LP4K-VQ100
- ICE40LP8K-VQ100
- ICE40HX1K-CB132
- ICE40HX4K-CB132
- ICE40HX8K-CB132
- ICE40HX1K-VQ100
- ICE40HX1K-TQ144
- ICE40HX4K-TQ144
- ICE40HX8K-CM225
- ICE40HX8K-CT256
- ICE40UP3K-UWG30
- ICE40UP3K-SG48
- ICE40UP5K-UWG30
- ICE40UP5K-SG48
- ICE40UL640-SWG16
- ICE40UL640-CM36
- ICE40UL1K-CM36
- ICE5LP1K-SWG36
- ICE5LP2K-SWG36
- ICE5LP4K-SWG36
- ICE5LP1K-CM36
- ICE5LP2K-CM36
- ICE5LP4K-CM36
- ICE5LP1K-SG48
- ICE5LP2K-SG48
- ICE5LP4K-SG4

0 comments on commit 5257e9b

Please sign in to comment.