-
Notifications
You must be signed in to change notification settings - Fork 147
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Annotate and BiSignal don't work together #2472
Comments
Thanks for the report! Should be fixed by: #2475 |
With the above fix I get the following VHDL: -- Automatically generated VHDL-93
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.MATH_REAL.ALL;
use std.textio.all;
use work.all;
use work.BiSigBug_topEntity_types.all;
entity topEntity is
port(-- clock
clk : in BiSigBug_topEntity_types.clk_System;
io : inout std_logic_vector);
attribute LOC : string;
attribute LOC of io : signal is "P1, P2, P4, N5, P5, M6, N6, M7";
attribute IOSTANDARD : string;
attribute IOSTANDARD of io : signal is "LVTTL";
end;
architecture structural of topEntity is
begin
-- writeToBiSignal# begin
io <= (std_logic_vector'("--------")) when false else (8-1 downto 0 => 'Z');
-- writeToBiSignal# end
end; |
Aren't we missing the size of the std_logic_vector there? |
Good catch, I fixed that as well now. |
Code changes look good to me, and I've test run as well. I'm probably doing something else wrong as my self-built (git clone+stack build+stack run clash) version usually crashes with a memory error, but that seems unrelated to this change. With this fixed I'll be able to build Xilinx projects without separate constraint files (messing with a miniSpartan6+ right now, got it talking to the USB port and LEDs). |
You're probably experiencing GHC bug #19421. Try passing |
AFAICT -xm uses MAP_FIXED, and can overwrite any maps that happen to be there, however it's likely to be none. And the root bug seems to be in the Linux kernel; MAP_32BIT fails unnecessarily. The workaround should use MAP_FIXED_NOREPLACE for collision detection. I'm going to try a different kernel release. The other workaround with |
We've released v1.8.0, which includes a fix for this issue. |
When using
Annotate
to attach attributes to aBiSignalIn
, it gets incorrectly declared asinput
. Example (comment out the Annotate lines to see the correct inout declaration):The text was updated successfully, but these errors were encountered: