Skip to content

Releases: cms-l1-globaltrigger/mp7_ugt_legacy

v1.12.1

31 Mar 06:44
e02e470
Compare
Choose a tag to compare

bug fix in correlation_conditions_muon.vhd

v1.12.0

09 Feb 13:38
3a86bcc
Compare
Choose a tag to compare

added new modules (correlation_conditions_calo.vhd, correlation_conditions_muon.vhd and comb_conditions)

v1.11.2

02 Dec 15:54
375dcd8
Compare
Choose a tag to compare

Removed unused VHDL files, implemented new pipeline structure in gtl_module.vhd and updated parameters in generics and ports with default values, so only non default values are written by VHDL Producer (v2.8.2 and higher)

v1.11.1

23 Nov 12:33
Compare
Choose a tag to compare

updated scripts (python3 for IPBB v0.5.2)

v1.11.0

25 Aug 09:03
582ab92
Compare
Choose a tag to compare

Implemented new muon structure with

  • unconstraint pt
  • impact parameter

Added files for

  • invariant mass with 3 objects
  • invariant mass divided by delta R".

v1.10.7

03 Feb 12:43
Compare
Choose a tag to compare

changed output pipeline code in esums_comparators.vhd and min_bias_hf_conditions.vhd

v1.10.6

29 Jan 09:31
Compare
Choose a tag to compare

bug fixes in calo_cond_matrix_orm.vhd

v1.10.5

24 Jan 10:45
9cd0b82
Compare
Choose a tag to compare

bug fixed in scripts and calo_calo_calo_correlation_orm_condition.vhd

v1.10.4

14 Nov 12:19
13fa5fa
Compare
Choose a tag to compare

bugs fixed in scripts

v1.10.3

12 Nov 07:28
Compare
Choose a tag to compare

bug fixed in script run_compile_simlib.py, added do-file gtl_fdl_wrapper_tpl_questa_v2019.2.do