Skip to content

Releases: cms-l1-globaltrigger/mp7_ugt_legacy

v1.28.0

05 Jul 08:36
Compare
Choose a tag to compare
v1.28.0 Pre-release
Pre-release
  • implementation of AXOL1TL model v4

v1.27.0

11 Jun 11:41
90decfd
Compare
Choose a tag to compare

implemention of HTMHF and calo comb trigger with more than 4 objects

v1.26.0

14 Mar 08:33
4446d6f
Compare
Choose a tag to compare

created from "dev_v1.26.0_no_topo" including AXOL1TL and CICADA (TOPO models not implemented)

v1.25.2

02 Oct 12:42
1d9c964
Compare
Choose a tag to compare

created from "dev_v1.25.2" - quad 8..16 not used in this tag ("no_mgt"), used with MP7 tag "v3.2.2_Vivado2021+_ugt_v4"

v1.24.0

02 Oct 12:39
Compare
Choose a tag to compare

created from branch "hi_zdc_5g" (used with MP7 tag "v3.2.2_Vivado2021+_ugt_v3")

v1.23.2

01 Sep 09:04
6ee2bef
Compare
Choose a tag to compare

added ZDC (on link 71), added missing file and updated sim and dep files

v1.23.0

29 Aug 10:32
Compare
Choose a tag to compare

Added ZDC (on link 71)

v1.22.3

25 Apr 11:02
6e58952
Compare
Choose a tag to compare

fixed muon index cut

v1.22.2

25 Apr 11:02
Compare
Choose a tag to compare
v1.22.2

v1.22.1

25 Apr 11:01
Compare
Choose a tag to compare
v1.22.1