Skip to content

Releases: cms-l1-globaltrigger/mp7_ugt_legacy

v1.22.3

25 Apr 11:02
6e58952
Compare
Choose a tag to compare

fixed muon index cut

v1.22.2

25 Apr 11:02
Compare
Choose a tag to compare
v1.22.2

v1.22.1

25 Apr 11:01
Compare
Choose a tag to compare
v1.22.1

v1.22.0

04 Apr 07:13
Compare
Choose a tag to compare

implemented features of utm 0.11.0

v1.21.7

30 Nov 07:41
Compare
Choose a tag to compare

bug fix in algo_pre_scaler_fractional_float.vhd (reset counter with factor=0)

v1.21.5

21 Nov 11:01
Compare
Choose a tag to compare

bug fix in correlation_conditions.vhd (mass_3_obj_1/matrix_corr_cond_i): added missing generic parameter

v1.21.4

07 Nov 09:27
Compare
Choose a tag to compare

updated top_decl.vhd: used "demux" in formatter for algo outputs to enable "orbit header" for scouting

v1.21.3

06 Oct 08:24
8dc1b8c
Compare
Choose a tag to compare

updated scripts for MP7 patch in run_synth_ipbb.py and run_simulation_questa.py

v1.21.2

29 Sep 07:09
729c39a
Compare
Choose a tag to compare

cleaned up VHDL code

v1.21.1

28 Sep 12:21
Compare
Choose a tag to compare

added script run_latex_w_versions.py