Skip to content

Commit

Permalink
Merge pull request #14659 from bangerth/vtu-1
Browse files Browse the repository at this point in the history
  • Loading branch information
masterleinad committed Jan 10, 2023
2 parents c0a9b5a + 8e5f1f3 commit d439ee8
Showing 1 changed file with 0 additions and 8 deletions.
8 changes: 0 additions & 8 deletions tests/simplex/data_out_write_vtu_01.cc
Original file line number Diff line number Diff line change
Expand Up @@ -73,8 +73,6 @@ test(const FiniteElement<dim, spacedim> &fe, const unsigned int n_components)
RightHandSideFunction<dim>(n_components),
solution);

static unsigned int counter = 0;

for (unsigned int n_subdivisions = 1; n_subdivisions <= 2; ++n_subdivisions)
{
DataOut<dim> data_out;
Expand All @@ -85,13 +83,7 @@ test(const FiniteElement<dim, spacedim> &fe, const unsigned int n_components)

data_out.build_patches(mapping, n_subdivisions);

//#if false
std::ofstream output("test." + std::to_string(dim) + "." +
std::to_string(counter++) + ".vtu");
data_out.write_vtu(output);
//#else
data_out.write_vtu(deallog.get_file_stream());
//#endif
}
}

Expand Down

0 comments on commit d439ee8

Please sign in to comment.