Skip to content

Compile and Install of Verilator Tool (Compiles synthesizable Verilog / SystemVerilog into C++ and SystemC code)

License

Notifications You must be signed in to change notification settings

embed-dsp/ed_verilator

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

36 Commits
 
 
 
 
 
 
 
 

Repository files navigation

Compile and Install of the Verilator Tool

This repository contains a make file for easy compile and install of Verilator. Verilator compiles synthesizable Verilog / SystemVerilog into C++ and SystemC code.

This make file can build the Verilator tool on the following systems:

  • Linux
  • Windows

Get Source Code

ed_verilator

git clone https://github.com/embed-dsp/ed_verilator.git

Verilator

# Enter the ed_verilator directory.
cd ed_verilator
# If this is the first time Verilator is built, then clone the Verilator git repository.
make clone
# Otherwise just pull the latest updates from the Verilator git repository.
make pull
# Edit the Makefile for selecting the Verilator version.
vim Makefile
PACKAGE_VERSION = master
# PACKAGE_VERSION = v5.024

# Edit the Makefile for selecting the SystemC version.
vim Makefile
SYSTEMC_VERSION = 2.3.3

Build

# Checkout specific version and rebuild configure.
make prepare
# Configure source code.
make configure
# Compile source code using 4 simultaneous jobs (Default).
make compile

Install

Linux

# Install build products.
sudo make install

The Verilator package does NOT install correctly according to the GNU Coding Standards. The build products are therefore installed in the following locations in order to allow separate installation for different architectures:

/opt/
└── veripool/
    └── linux_x86_64/               # 64-bit binaries and libraries for Linux
        └── verilator-master/
            ├── bin/
            │   ├── verilator
            │       ...
            └── share/              # ...
                ├── verilator/
                │   ├── include/    # Include directory.
                        ...

Windows: MSYS2/mingw64

# Install build products.
make install

The Verilator package does NOT install correctly according to the GNU Coding Standards. The build products are therefore installed in the following locations in order to allow separate installation for different architectures:

/c/opt/
└── veripool/
    └── mingw64_x86_64/             # 64-bit binaries and libraries for Windows
        └── verilator-master/
            ├── bin/
            │   ├── verilator
            │       ...
            └── share/              # ...
                ├── verilator/
                │   ├── include/    # Include directory.
                        ...

About

Compile and Install of Verilator Tool (Compiles synthesizable Verilog / SystemVerilog into C++ and SystemC code)

Topics

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published