Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

build/lattice/trellis: make "-abc9" an optional argument #631

Merged
merged 1 commit into from
Aug 22, 2020
Merged

build/lattice/trellis: make "-abc9" an optional argument #631

merged 1 commit into from
Aug 22, 2020

Conversation

gsomlo
Copy link
Collaborator

@gsomlo gsomlo commented Aug 15, 2020

Fix up earlier commit (#6c298cb7) and make the '-abc9' optional
argument to yosys' synth_ecp5 actually optional (and off by default)
in LiteX's trellis build infrastructure.

@enjoy-digital @daveshah1 What do you think? IMHO I should have done it like this from the beginning, any reason we shouldn't correct that now? :)

Fix up earlier commit (#6c298cb7) and make the '-abc9' optional
argument to yosys' synth_ecp5 actually optional (and off by default)
in LiteX's trellis build infrastructure.

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
@mithro
Copy link
Collaborator

mithro commented Aug 15, 2020

I'm pretty sure abc9 should be on by default and bugs reported where it performs worse when off?

@gsomlo
Copy link
Collaborator Author

gsomlo commented Aug 15, 2020 via email

@enjoy-digital enjoy-digital merged commit ee0e240 into enjoy-digital:master Aug 22, 2020
@enjoy-digital
Copy link
Owner

Thanks @gsomlo, i also had trouble with this and also agree to use the same default settings than Yosys.

@gsomlo gsomlo deleted the gls-abc9-fixup branch August 23, 2020 11:46
@enjoy-digital
Copy link
Owner

@gsomlo: for info, abc9 was also causing issue with bus-standard=axi-lite on ECP5 designs, without it it's now fine, so this confirms it's probably better to disable it by default.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

3 participants