Skip to content

flyingoverclouds/flocs-ulx3s-samples

Repository files navigation

flocs-ulx3s-samples

My samples and tests on the FGPA ULX3S board and opensource toolchain for Lattice ECP5 fpga.

Projects

The blinky project demonstrate how to use access buttons to light on/off led, and how to use onboard clock to feed a counter to make led blinking

The blinky-pushbuttons make led blinking with a counter incremented by pushing a button.

the blinky-pushbutton-debounced project add a debouncer (implemented in a verilog module) to make 'push' button more reliable and avoiding double or triple inc on a single pression.

This project demonstrate how to generate a slower clock signal deriving from the main 25mhz clock and feedind a counter wired to led.

This project demonstrate how to generate a PWM signal and use it to vary led light intensity

About

My samples and tests on the FGPA ULX3S board and opensource toolchain for ECP5

Topics

Resources

License

Stars

Watchers

Forks