Skip to content

Commit

Permalink
rename perso_module to modules, move images of modules to subdir
Browse files Browse the repository at this point in the history
  • Loading branch information
a2line authored and fablhx committed Jun 27, 2018
1 parent 668e4e6 commit 93da872
Show file tree
Hide file tree
Showing 76 changed files with 102 additions and 102 deletions.
10 changes: 5 additions & 5 deletions hd/etc/anctree.txt
Original file line number Diff line number Diff line change
Expand Up @@ -26,18 +26,18 @@
%import;menubar

%if;(evar.t="T" and evar.t1="7")
%import;perso_module/arbre_7gen;
%import;modules/arbre_7gen;
%elseif;(evar.t="T" and evar.t1="h7")
%import;perso_module/arbre_h7;
%import;modules/arbre_h7;
%elseif;(evar.t="T" and evar.t1="m") %(m for compatibility with existing arbre_9gen %)
%import;perso_module/arbre_9gen;
%import;modules/arbre_9gen;
%elseif;(evar.t="T" and evar.t1="CT") %(CT for compatibility with templm %)
%import;perso_module/arbre_hi_tools;
%import;modules/arbre_hi_tools;
%import;templm/tools;
%import;templm/anctree_hi_indexes
%import;templm/anctree_hi;
%elseif;(evar.t="A" or evar.t="C" or evar.t="T")
%import;perso_module/arbre_vertical;
%import;modules/arbre_vertical;
%else;
bad values for evar.t and evar.t1
%end;
Expand Down
4 changes: 2 additions & 2 deletions hd/etc/css/css.css
Original file line number Diff line number Diff line change
Expand Up @@ -190,7 +190,7 @@ div.p_mod_builder {
width: 300px;
}

/* Fixed ancestors image width on perso_module/tree_3gen_photo */
/* Fixed ancestors image width on modules/arbre_3gen_photo */
img.image_small_size {
height: 70px;
}
Expand All @@ -202,7 +202,7 @@ span.image_small_size {
font-weight: 320
}

/* Fixed image size (width) on perso_module/parents and unions */
/* Fixed image size (width) on modules/parents and modules/unions */
.big_image{
width: 8.5rem;
}
Expand Down
2 changes: 1 addition & 1 deletion hd/etc/destree.txt
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,7 @@
<div class="container%if;(evar.wide="on")-fluid%end;">
%import;perso_utils;
%import;menubar;
%apply;show_templ("perso_module/arbre_descendants")
%apply;show_templ("modules/arbre_descendants")
%base_trailer;
%include.copyr;
</div>
Expand Down
2 changes: 1 addition & 1 deletion hd/etc/family.txt
Original file line number Diff line number Diff line change
Expand Up @@ -26,7 +26,7 @@
%include.menubar;
%message_to_wizard;
<div id="content" tabindex="-1">
%apply;show_templ("perso_module/arbre_famille")
%apply;show_templ("modules/arbre_famille")
</div>
%if;(not cancel_links)
%include.trl;
Expand Down
8 changes: 4 additions & 4 deletions hd/etc/menubar.txt
Original file line number Diff line number Diff line change
Expand Up @@ -42,7 +42,7 @@
<div class="dropdown-menu">
<div class="d-inline-flex">
<form class="form-control" name="upd_url" method="get" action="%action;">
<div class="invisible" id="img_prfx">%image_prefix;</div>
<div class="invisible" id="img_prfx">%image_prefix;/modules</div>
<div class="d-flex justify-content-start">
%apply;input_hidden("evar.b","b")
%apply;input_hidden("evar.lang","lang")
Expand Down Expand Up @@ -71,7 +71,7 @@
<button type="submit" class="btn btn-outline-secondary ml-2 mr-1"
value="X" id="zz" title="default"
data-toggle="popover" data-trigger="hover" data-placement="bottom"
data-html="true" data-content="<img class='w-100' id='zz' src='%image_prefix;/zz_1.jpg'>"><i class="fa fa-undo"></i></button>
data-html="true" data-content="<img class='w-100' id='zz' src='%image_prefix;/modules/zz_1.jpg'>"><i class="fa fa-undo"></i></button>
</div>
<div class="d-flex justify-content-between">
<div class="mx-2 mt-2">
Expand All @@ -92,10 +92,10 @@ fr: Saisissez une succession de lettres de module avec son chiffre d’option da
<div id="p_mod_table"></div>
</form>
<div class="form-control d-none d-md-block">
<img src="%image_prefix;/menubar_1.jpg" alt="menubar for p_mod_builder" aria-hidden="true">
<img src="%image_prefix;/modules/menubar_1.jpg" alt="menubar for p_mod_builder" aria-hidden="true">
<div id="p_mod_builder">
%if;(evar.p_mod="zz")
<img src="%image_prefix;/zz_1.jpg" alt="zz_1">
<img src="%image_prefix;/modules/zz_1.jpg" alt="zz_1">
%end;
</div>
</div>
Expand Down
File renamed without changes.
File renamed without changes.
File renamed without changes.
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/arbre_descendants v7.00 2017/12/04 20:02:08 hg -->
<!-- $Id: modules/arbre_descendants v7.00 2017/12/04 20:02:08 hg -->
%define;display_person(xxx)
%if;(not cancel_links)
<div class="list-group-item py-0 my-0" style="zindex:0;">
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/arbre_famille.txt v 7.00 30/10/2017 22:03:29 hg/a2 -->
<!-- $Id: modules/arbre_famille.txt v 7.00 30/10/2017 22:03:29 hg/a2 -->

%define;short_display_person_image(xxx,yyy,zzz)
%let;personinfo;%if;("xxx"="child")%sp;(%childrank;)%nn;
Expand Down
File renamed without changes.
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
<!-- $Id: arbre_h7.txt,v 7.00 2016/05/02 23:28:26 mr Exp $ -->
<link type="text/css" href="%bvar.static_path;perso_module/arbre_h7%bvar.css;.css" media="all" rel="stylesheet" %/>
<link type="text/css" href="%bvar.static_path;modules/arbre_h7%bvar.css;.css" media="all" rel="stylesheet" %/>

%let;l_fs;%if;(evar.fs != "")%evar.fs;%else;9%end;%in;
%let;l_wi;%if;(evar.wi != "")%evar.wi;%else;980%end;%in;
Expand Down
File renamed without changes.
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/arbre_hi_tools.txt v7.00 2017/11/18 20:02:08 Arbre ascendant (vertical) -->
<!-- $Id: modules/arbre_hi_tools.txt v7.00 2017/11/18 20:02:08 Arbre ascendant (vertical) -->

%let;evarv;%if;(evar.v!="")%evar.v;%else;5%end;%in;
%let;evarscl;%if;(evar.scale!="")%evar.scale;%else;100%end;%in;
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/arbre_horizontal v7.00 28/03/2017 20:02:03 Arbre ascendant horizontal jusqu’à 6 générations -->
<!-- $Id: modules/arbre_horizontal v7.00 28/03/2017 20:02:03 Arbre ascendant horizontal jusqu’à 6 générations -->

%define;access_ind(nnn)
%if;(cancel_links or nnn.is_restricted)
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/arbre_vertical v7.00 18/11/2017 20:02:08 Arbre ascendant (vertical) -->
<!-- $Id: modules/arbre_vertical v7.00 18/11/2017 20:02:08 Arbre ascendant (vertical) -->

%define;a_tree_line()
%if;(not is_first)
Expand Down Expand Up @@ -256,7 +256,7 @@
</div>
<table class="tree-vert mx-auto my-3" style="border-width:%border;">
%if;(evar.t="T" and evar.t1="m")
%include;perso_module/arbre_9gen;
%include;modules/arbre_9gen;
%elseif;(evar.t="T")
%apply;tree(evarv)
%else;
Expand Down
33 changes: 33 additions & 0 deletions hd/etc/modules/arbres.txt
Original file line number Diff line number Diff line change
@@ -0,0 +1,33 @@
<!-- $Id: modules/arbres.txt v 7.00 28/03/2017 19:53:53 hg -->
%(
Options
1: arbre vertical
2: arbre horizontal (jusque 6 gen.)
3: generation 2 et 3 avec photos
4: 7 gen : 3 asc et 3 desc.
%)
%let;op_m;%if;(op_m!="")%op_m;%else;1%end;%in;
%let;op_ph;%if;(op_m=1 or op_m=2 or op_m=8)1%else;0%end;%in;

%if;(op_m=1)
%apply;show_templ("modules/arbre_vertical")
%elseif;(op_m=2)
%apply;show_templ("modules/arbre_horizontal")
%elseif;(op_m=3)
%apply;show_templ("modules/arbre_3gen_photo")
%elseif;(op_m=4)
%apply;show_templ("modules/arbre_7gen")
%elseif;(op_m=5)
%apply;show_templ("modules/arbre_famille")
%elseif;(op_m=6)
%apply;show_templ("modules/arbre_h7")
%elseif;(op_m=7)
%apply;show_templ("modules/arbre_9gen")
%elseif;(op_m=8)
%import;modules/arbre_hi_tools
%import;templm/anctree_hi_indexes
%apply;show_templ("templm/anctree_hi")
%elseif;(op_m=9)
%apply;show_templ("modules/arbre_descendants")
%else;
%end;
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/chronologie.txt v 7.00 05/04/2017 03:27:35 -->
<!-- $Id: modules/chronologie.txt v 7.00 05/04/2017 03:27:35 -->
%define;event_tree(z1)
%reset_count;
href="%prefix;spouse=on;m=RLM;image=%evar.image;;%nn;
Expand Down
24 changes: 24 additions & 0 deletions hd/etc/modules/data_3col.txt
Original file line number Diff line number Diff line change
@@ -0,0 +1,24 @@
<!-- modules/data_3col.txt v7.00 28/03/2017 20:20:05 data parents, siblings, perso, unions (3 cols) -->
%( options
0: skip
1: standard
%)
%let;op_m;%if;(op_m!="")%op_m;%else;1%end;%in;
<div class="row">
<div class="col-md-3">
%let;op_m;1%in;%apply;show_templ("modules/parents")
%let;op_m;1%in;%apply;show_templ("modules/fratrie")
</div>
<div class="col-md-6">
%let;op_m;2%in;%apply;show_templ("modules/individu")
%if;(nb_families > 3)
%let;op_m;1%in;%apply;show_templ("modules/unions")
%end;
</div>
<div class="col-md-3">
%if;(nb_families < 4)
%let;op_m;1%in;%apply;show_templ("modules/unions")
%end;
%let;op_m;1%in;%apply;show_templ("modules/relations")
</div>
</div>
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/fratrie.txt v 7.00 28/03/2017 20:05:48 hg -->
<!-- $Id: modules/fratrie.txt v 7.00 28/03/2017 20:05:48 hg -->
%( op_m=1 simple: name %)
%( op_m=2 simple with photo %)
%( op_m=3 complete: name, marriages %)
Expand Down Expand Up @@ -192,7 +192,7 @@
%end;
%end;
%end;
<!-- perso_module/freresoeur_complet (deux listes de demi frères côte à côte) -->
<!-- modules/freresoeur_complet (deux listes de demi frères côte à côte) -->
%elseif;(op_m=3 and has_parents and (half_father != 0 or half_mother != 0))
<h2>[*half siblings]</h2>
<table style="border:%border;;width:100%">
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/gr_parents.txt v 7.00 20/11/2016 06:10:01 hg -->
<!-- $Id: modules/gr_parents.txt v 7.00 20/11/2016 06:10:01 hg -->
%( op_m=1 maternal gr_parents & uncles, parents & siblings, paternal gr_parents & uncles in 3 columns %)
%( op_m=2 maternal gr_parents & uncles, paternal gr_parents & uncles in 2 columns %)
%let;op_m;%if;(op_m!="")%op_m;%else;1%end;%in;
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/individu.txt v 7.00 07/05/2018 07:54:32 hg -->
<!-- $Id: modules/individu.txt v 7.00 07/05/2018 07:54:32 hg -->
%( options
0: skip
1: standard
Expand Down
2 changes: 2 additions & 0 deletions hd/etc/modules/ligne.txt
Original file line number Diff line number Diff line change
@@ -0,0 +1,2 @@
<!-- modules/ligne.txt v 7.00 20/11/2016 06:10:01 hg -->
<hr />
2 changes: 1 addition & 1 deletion hd/etc/perso_module/notes.txt → hd/etc/modules/notes.txt
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/notes.txt v 7.00 15/01/2017 20:07:23 hg -->
<!-- $Id: modules/notes.txt v 7.00 15/01/2017 20:07:23 hg -->
%( op_m=1 Notes and marriage comments %)
%( op_m=2 Notes and event notes (+comments for marriages) %)
%let;op_m;%if;(op_m!="")%op_m;%else;%if;(has_families or bvar.display_timeline="yes")1%end;%else;2%end;%in;
Expand Down
File renamed without changes.
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/parents.txt v 7.00 20/11/2016 06:10:01 hg -->
<!-- $Id: modules/parents.txt v 7.00 20/11/2016 06:10:01 hg -->
%( op_m=1 simple: name %)
%( op_m=3 simple with photo in 2 cols %)
%( op_m=2 evolved: name, occupation %)
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/relations.txt v 7.00 20/11/2016 06:10:01 hg -->
<!-- $Id: modules/relations.txt v 7.00 20/11/2016 06:10:01 hg -->
%( op_m=1 Relations %)
%( op_m=2 Relations complete (events) %)
%let;op_m;%if;(op_m!="")%op_m;%else;1%end;%in;
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/sources.txt v 7.00 02/04/2018 08:52:26 hg -->
<!-- $Id: modules/sources.txt v 7.00 02/04/2018 08:52:26 hg -->
%( op_m=1 Sources %)
%( op_m=2 Sources complete (events) %)
%let;op_m;%if;(op_m!="")%op_m;%else;1%end;%in;
Expand Down
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
<!-- $Id: perso_module/unions.txt v 7.00 19/03/2018 07:46:01 hg -->
<!-- $Id: modules/unions.txt v 7.00 19/03/2018 07:46:01 hg -->
%( op_m=1 simple: name %)
%( op_m=2 photos: simple with photo %)
%( op_m=3 evolved: spouse parents and children's spouse %)
Expand Down
18 changes: 9 additions & 9 deletions hd/etc/perso.txt
Original file line number Diff line number Diff line change
Expand Up @@ -85,25 +85,25 @@
%apply;init_cache(3, 2, 2)
%if;(has_parents and (father.has_parents or mother.has_parents))
<div class="collapse" id="collapseExample1">
%let;op_m;1%in;%apply;show_templ("perso_module/gr_parents")
%let;op_m;1%in;%apply;show_templ("modules/gr_parents")
</div>
%end;
<div class="row">
%(be carefull h1 is col-12 for full width then an opening <div class="col-8"> is inside personnal_data %)
%let;op_m;3%in;%apply;show_templ("perso_module/individu")
%let;op_m;2%in;%apply;show_templ("perso_module/unions")
%let;op_m;1%in;%apply;show_templ("perso_module/chronologie")
%let;op_m;1%in;%apply;show_templ("perso_module/notes")
%let;op_m;2%in;%apply;show_templ("perso_module/sources")
%let;op_m;3%in;%apply;show_templ("modules/individu")
%let;op_m;2%in;%apply;show_templ("modules/unions")
%let;op_m;1%in;%apply;show_templ("modules/chronologie")
%let;op_m;1%in;%apply;show_templ("modules/notes")
%let;op_m;2%in;%apply;show_templ("modules/sources")
%if;(p_mod="" or p_mod="zz")</div>%end; %( end of <div class="col-8"> from personnal_data %)
<div class="col-4">
%if;has_parents;
<div class="collapse show" id="collapseExample2">
%let;op_m;2%in;%apply;show_templ("perso_module/arbre_3gen_photo")
%let;op_m;2%in;%apply;show_templ("modules/arbre_3gen_photo")
</div>
%end;
%let;op_m;1%in;%apply;show_templ("perso_module/fratrie")
%let;op_m;1%in;%apply;show_templ("perso_module/relations")
%let;op_m;1%in;%apply;show_templ("modules/fratrie")
%let;op_m;1%in;%apply;show_templ("modules/relations")
</div>
</div>
%else;
Expand Down
33 changes: 0 additions & 33 deletions hd/etc/perso_module/arbres.txt

This file was deleted.

24 changes: 0 additions & 24 deletions hd/etc/perso_module/data_3col.txt

This file was deleted.

2 changes: 0 additions & 2 deletions hd/etc/perso_module/ligne.txt

This file was deleted.

4 changes: 2 additions & 2 deletions hd/etc/perso_utils.txt
Original file line number Diff line number Diff line change
Expand Up @@ -828,12 +828,12 @@
%end;

%define;get_templ(tplnum)
%let;templ;perso_module/%bvar.perso_module_tplnum;%in
%let;templ;modules/%bvar.perso_module_tplnum;%in
%apply;show_templ(templ)
%end;

%define;get_mod(modnum, optx)
%let;modx;perso_module/%bvar.perso_module_modnum;%in;
%let;modx;modules/%bvar.perso_module_modnum;%in;
%let;op_m;optx%in;
%apply;show_templ(modx)
%end;
Expand Down
2 changes: 1 addition & 1 deletion hd/etc/templm/anctree_h7.txt
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
<!-- $Id: anctree_h7.txt,v 7.00 2016/05/02 23:28:26 mr Exp $ -->
<link type="text/css" href="%bvar.static_path;/perso_module/arbre_h7%bvar.css;.css" media="all" rel="stylesheet" %/>
<link type="text/css" href="%bvar.static_path;/modules/arbre_h7%bvar.css;.css" media="all" rel="stylesheet" %/>

%let;l_fs;%if;(evar.fs != "")%evar.fs;%else;9%end;%in;
%let;l_wi;%if;(evar.wi != "")%evar.wi;%else;980%end;%in;
Expand Down
2 changes: 1 addition & 1 deletion hd/etc/templm/anctree_m.txt
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
<!-- anctree_m.txt v3 -->

%import;perso_module/arbre_9gen;
%import;modules/arbre_9gen;
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes
File renamed without changes

0 comments on commit 93da872

Please sign in to comment.