Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Syntax errors in SVA properties #652

Open
msfschaffner opened this issue Jan 26, 2021 · 1 comment
Open

Syntax errors in SVA properties #652

msfschaffner opened this issue Jan 26, 2021 · 1 comment
Assignees
Labels
style-linter Verilog style-linter issues

Comments

@msfschaffner
Copy link

The reduced testcase below fails with the following syntax errors:

/home/msf/Desktop/test.sv:7:13: syntax error, rejected "first_match" (https://github.com/google/verible).
/home/msf/Desktop/test.sv:8:3: syntax error, rejected "endproperty" (https://github.com/google/verible).
module test (
  input foo,
  input bar
);

  property testprop(int lsb);
    foo ##1 first_match(##[0:$] ~foo == lsb);
  endproperty

endmodule

Verible version:

v0.0-808-g1e17daa
Commit	2020-12-14 17:14:57 -0800
Built	2020-12-15T01:35:23Z

I came across this in the OpenTitan DV code, and it would be great if this could be parsed correctly.

@msfschaffner msfschaffner added the style-linter Verilog style-linter issues label Jan 26, 2021
@msfschaffner
Copy link
Author

b/178507780

msfschaffner added a commit to msfschaffner/opentitan that referenced this issue Jan 30, 2021
The autogenerated CSR FPV assertions contain constructs that Verible
does not yet understand.
Verible then throws syntax errors that break our lint flow.
Unfortunately, these syntax errors cannot easily be waived, and the
issue needs to be addressed upstream, see
chipsalliance/verible#652

In the meantime, this commit introduces a workaround that excludes the
offending files from Verible lint runs.

Signed-off-by: Michael Schaffner <msf@opentitan.org>
rswarbrick pushed a commit to rswarbrick/opentitan that referenced this issue Feb 22, 2021
The autogenerated CSR FPV assertions contain constructs that Verible
does not yet understand.
Verible then throws syntax errors that break our lint flow.
Unfortunately, these syntax errors cannot easily be waived, and the
issue needs to be addressed upstream, see
chipsalliance/verible#652

In the meantime, this commit introduces a workaround that excludes the
offending files from Verible lint runs.

Signed-off-by: Michael Schaffner <msf@opentitan.org>
rswarbrick pushed a commit to lowRISC/opentitan that referenced this issue Feb 22, 2021
The autogenerated CSR FPV assertions contain constructs that Verible
does not yet understand.
Verible then throws syntax errors that break our lint flow.
Unfortunately, these syntax errors cannot easily be waived, and the
issue needs to be addressed upstream, see
chipsalliance/verible#652

In the meantime, this commit introduces a workaround that excludes the
offending files from Verible lint runs.

Signed-off-by: Michael Schaffner <msf@opentitan.org>
symbiflow-robot pushed a commit to litex-hub/pythondata-misc-opentitan that referenced this issue Feb 22, 2021
Updated data to v0.0-4996-g15912f566 based on 15912f5 from https://github.com/lowRISC/opentitan.
> commit 15912f5
> Author: Michael Schaffner <msf@opentitan.org>
> Date:   Fri Jan 29 18:24:37 2021 -0800
>
>     [Verible/FPV] Workaround that excludes CSR FPV files in Verible runs
>
>     The autogenerated CSR FPV assertions contain constructs that Verible
>     does not yet understand.
>     Verible then throws syntax errors that break our lint flow.
>     Unfortunately, these syntax errors cannot easily be waived, and the
>     issue needs to be addressed upstream, see
>     chipsalliance/verible#652
>
>     In the meantime, this commit introduces a workaround that excludes the
>     offending files from Verible lint runs.
>
>     Signed-off-by: Michael Schaffner <msf@opentitan.org>
>

Updated using 0.0.post91 from https://github.com/litex-hub/litex-data-auto
@hzeller hzeller self-assigned this Mar 16, 2021
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
style-linter Verilog style-linter issues
Projects
None yet
Development

No branches or pull requests

2 participants