Skip to content
This repository has been archived by the owner on Feb 7, 2018. It is now read-only.

Commit

Permalink
fix last bit in write mode
Browse files Browse the repository at this point in the history
  • Loading branch information
jctemkin committed Oct 11, 2012
1 parent 4fc1da2 commit 1cfa8e2
Show file tree
Hide file tree
Showing 45 changed files with 46,809 additions and 45 deletions.
3 changes: 0 additions & 3 deletions _xmsgs/pn_parser.xmsgs
Original file line number Original file line Diff line number Diff line change
Expand Up @@ -8,9 +8,6 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. --> <!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->


<messages> <messages>
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;/home/jenn/quad/quad_fpga/pcm_gen.vhd&quot; into library work</arg>
</msg>

<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;/home/jenn/quad/quad_fpga/spi_module.vhd&quot; into library work</arg> <msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;/home/jenn/quad/quad_fpga/spi_module.vhd&quot; into library work</arg>
</msg> </msg>


Expand Down
24 changes: 24 additions & 0 deletions fuse.log
Original file line number Original file line Diff line number Diff line change
@@ -0,0 +1,24 @@
Running: /opt/Xilinx/13.2/ISE_DS/ISE/bin/lin64/unwrapped/fuse -intstyle ise -incremental -lib secureip -o /home/jenn/quad/quad_fpga/spi_tb_isim_beh.exe -prj /home/jenn/quad/quad_fpga/spi_tb_beh.prj work.spi_tb
ISim O.61xd (signature 0xb4d1ced7)
Number of CPUs detected in this system: 4
Turning on mult-threading, number of parallel sub-compilation jobs: 8
Determining compilation order of HDL files
Parsing VHDL file "/home/jenn/quad/quad_fpga/spi_module.vhd" into library work
Parsing VHDL file "/home/jenn/quad/quad_fpga/spi_tb.vhd" into library work
Starting static elaboration
WARNING:HDLCompiler:960 - "/home/jenn/quad/quad_fpga/spi_module.vhd" Line 73: Expression has incompatible type
Completed static elaboration
Fuse Memory Usage: 73808 KB
Fuse CPU Usage: 80 ms
Compiling package standard
Compiling package std_logic_1164
Compiling package numeric_std
Compiling architecture behavioral of entity spi_module [spi_module_default]
Compiling architecture behavior of entity spi_tb
Time Resolution for simulation is 1ps.
Waiting for 1 sub-compilation(s) to finish...
Compiled 6 VHDL Units
Built simulation executable /home/jenn/quad/quad_fpga/spi_tb_isim_beh.exe
Fuse Memory Usage: 645636 KB
Fuse CPU Usage: 190 ms
GCC CPU Usage: 380 ms
12 changes: 12 additions & 0 deletions fuse.xmsgs
Original file line number Original file line Diff line number Diff line change
@@ -0,0 +1,12 @@
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="HDLCompiler" num="960" delta="unknown" >"/home/jenn/quad/quad_fpga/spi_module.vhd" Line 73: Expression has incompatible type
</msg>

</messages>

1 change: 1 addition & 0 deletions fuseRelaunch.cmd
Original file line number Original file line Diff line number Diff line change
@@ -0,0 +1 @@
-intstyle "ise" -incremental -lib "secureip" -o "/home/jenn/quad/quad_fpga/spi_tb_isim_beh.exe" -prj "/home/jenn/quad/quad_fpga/spi_tb_beh.prj" "work.spi_tb"
8 changes: 4 additions & 4 deletions iseconfig/quad_fpga.projectmgr
Original file line number Original file line Diff line number Diff line change
Expand Up @@ -92,13 +92,13 @@
<ClosedNodesVersion>2</ClosedNodesVersion> <ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>
<SelectedItem>uut - spi_module - Behavioral (/home/jenn/quad/quad_fpga/spi_module.vhd)</SelectedItem> <SelectedItem>spi_tb - behavior (/home/jenn/quad/quad_fpga/spi_tb.vhd)</SelectedItem>
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000185000000020000000000000000000000000200000064ffffffff000000810000000300000002000001850000000100000003000000000000000100000003</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000185000000020000000000000000000000000200000064ffffffff000000810000000300000002000001850000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>uut - spi_module - Behavioral (/home/jenn/quad/quad_fpga/spi_module.vhd)</CurrentItem> <CurrentItem>spi_tb - behavior (/home/jenn/quad/quad_fpga/spi_tb.vhd)</CurrentItem>
</ItemView> </ItemView>
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" > <ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
<ClosedNodes> <ClosedNodes>
Expand All @@ -119,12 +119,12 @@
<ClosedNodesVersion>1</ClosedNodesVersion> <ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>
<SelectedItem>Behavioral Check Syntax</SelectedItem> <SelectedItem></SelectedItem>
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000111000000010000000100000000000000000000000064ffffffff000000810000000000000001000001110000000100000000</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000111000000010000000100000000000000000000000064ffffffff000000810000000000000001000001110000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Behavioral Check Syntax</CurrentItem> <CurrentItem></CurrentItem>
</ItemView> </ItemView>
</Project> </Project>
2 changes: 2 additions & 0 deletions isim.cmd
Original file line number Original file line Diff line number Diff line change
@@ -0,0 +1,2 @@
onerror {resume}
run 5us;
20 changes: 20 additions & 0 deletions isim.log
Original file line number Original file line Diff line number Diff line change
@@ -0,0 +1,20 @@
ISim log file
Running: /home/jenn/quad/quad_fpga/spi_tb_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -view /home/jenn/quad/quad_fpga/sdfs.wcfg -wdb /home/jenn/quad/quad_fpga/spi_tb_isim_beh.wdb
ISim O.61xd (signature 0xb4d1ced7)
WARNING: A WEBPACK license was found.
WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
This is a Lite version of ISim.
Time resolution is 1 ps
# onerror resume
# run 5us
Simulator is doing circuit initialization process.
at 0 ps, Instance /spi_tb/uut/ : Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
at 0 ps, Instance /spi_tb/uut/ : Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
at 0 ps, Instance /spi_tb/uut/ : Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
at 0 ps, Instance /spi_tb/uut/ : Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
Finished circuit initialization process.
at 100 ns(1), Instance /spi_tb/uut/ : Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
at 100 ns(1), Instance /spi_tb/uut/ : Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
at 100 ns(1), Instance /spi_tb/uut/ : Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
# exit 0
16 changes: 16 additions & 0 deletions isim/isim_usage_statistics.html
Original file line number Original file line Diff line number Diff line change
@@ -0,0 +1,16 @@
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="ISimStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>ISim Statistics</B></TD></TR>
<TR><TD><xtag-isim-property-name>Xilinx HDL Libraries Used</xtag-isim-property-name>=<xtag-isim-property-value>ieee</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Fuse Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>190 ms, 645636 KB</xtag-isim-property-value></TD></TR>

<TR><TD><xtag-isim-property-name>Total Signals</xtag-isim-property-name>=<xtag-isim-property-value>35</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Nets</xtag-isim-property-name>=<xtag-isim-property-value>81</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Blocks</xtag-isim-property-name>=<xtag-isim-property-value>4</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Processes</xtag-isim-property-name>=<xtag-isim-property-value>13</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Simulation Time</xtag-isim-property-name>=<xtag-isim-property-value>5 us</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Simulation Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>0.08 sec, 232558 KB</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Simulation Mode</xtag-isim-property-name>=<xtag-isim-property-value>gui</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Hardware CoSim</xtag-isim-property-name>=<xtag-isim-property-value>0</xtag-isim-property-value></TD></TR>
</xtag-section>
</TABLE>
Empty file added isim/lockfile
Empty file.
1 change: 1 addition & 0 deletions isim/pn_info
Original file line number Original file line Diff line number Diff line change
@@ -0,0 +1 @@
13.2
Loading

0 comments on commit 1cfa8e2

Please sign in to comment.