Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[fpga] Add timestamp for bitstream identification via USR_ACCESS reg #5279

Merged
merged 1 commit into from
Feb 19, 2021

Commits on Feb 18, 2021

  1. [fpga] Add timestamp for bitstream identification via USR_ACCESS reg

    This commit modifies the bitstream generation to add a timestamp
    in the USR_ACCESS register for later identification. This is useful to
    find out which bitstream is currently loaded on a running FPGA.
    
    Signed-off-by: Pirmin Vogel <vogelpi@lowrisc.org>
    vogelpi committed Feb 18, 2021
    Configuration menu
    Copy the full SHA
    ee6d495 View commit details
    Browse the repository at this point in the history