Skip to content

A very simple SDRAM controller for FPGA written in Verilog. It exposes a SRAM-like interface to the rest of the FPGA fabric

License

Notifications You must be signed in to change notification settings

mcleod-ideafix/simple_sdram_controller

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 
 
 
 
 

Repository files navigation

simple_sdram_controller

A very simple SDRAM controller for FPGA written in Verilog. It exposes a SRAM-like interface to the rest of the FPGA fabric

About

A very simple SDRAM controller for FPGA written in Verilog. It exposes a SRAM-like interface to the rest of the FPGA fabric

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published