Skip to content

vscode常用操作

minichao9901 edited this page Jun 20, 2024 · 7 revisions

vscode操作命令:

打开命令面板:Ctrl+Shift+P
搜索:Ctrl+F
缩进:Tab
取消缩进:Shift+Tab
分屏编辑:Ctrl+\
查找:Ctrl+F,然后按F3下一个
F12打开变量或者函数或文件的定义
Ctrl+鼠标点击变量或者函数
Ctrl+B 隐藏左侧资源管理器

vscode可以一次性读入整个c工程,例如正点原子的工程。非常厉害。

vscode左侧的大纲,会给出这个c文件的所有函数,变量和类的定义

vscode安装verilog相关的插件

image

为了支持按f12跳转,以及自动生成例化,需要下载ctags。 手动下载ctags,路径如下: https://github.com/universal-ctags/ctags-win32/releases/tag/2024-05-27%2Fp6.1.20240526.0-4-g653ca92

image

解压到任何文件夹

image

设置Verilog-HDL扩展设置的Ctags Path路径。然后重启Ctags即可了。 按f12,或者alt+f12,或者ctrl+点击变量,可以跳转到变量的定义或者引用处。 按ctrl+shift+p弹出命令行,输入verilog: instantiate module,然后点击一个模块,它就能自动生成例化。 image

如何打开settings.json,并修改文本编码方式为GB2312?

image image

注意这里不要留空行。如果留空行,重新打开后就被冲掉了。

settings.json和keybindings.json如何打开和修改?

image 注意这里不要留空行。如果留空行,重新打开后就被冲掉了。

verilog的更多配置

https://blog.csdn.net/weixin_50810761/article/details/128054076

verilog代码自动例化

安装Verilog_Testbench插件
安装python3插件(到python官网):https://www.python.org/downloads/windows/

自动生成代码例化用法: ctrl+shift+p,点击到模块名字上,输入Instance,然后就自动生成了
或者打开到某个模块的文件,ctrl+shift+p,输入Testbench,然后就自动生成了

Clone this wiki locally