Skip to content

Commit

Permalink
Ver.2.0 Update for new hardwate version HW_VER_v1.3
Browse files Browse the repository at this point in the history
  • Loading branch information
vytautasb committed May 25, 2017
1 parent c4f5266 commit ed63f11
Show file tree
Hide file tree
Showing 5 changed files with 10 additions and 10 deletions.
6 changes: 3 additions & 3 deletions gen_prg_files.tcl
Original file line number Diff line number Diff line change
@@ -1,10 +1,10 @@
#Copy and Rename .sof file by hardware version
file copy -force -- output_files/LimeSDR-PCIE_lms7_trx.sof output_files/LimeSDR-PCIE_lms7_trx_HW_1.2.sof
file copy -force -- output_files/LimeSDR-PCIE_lms7_trx.sof output_files/LimeSDR-PCIE_lms7_trx_HW_1.3.sof
qexec "quartus_cpf -c output_files/jic_gen_setup.cof"
post_message "*******************************************************************"
post_message "Generated programming file: LimeSDR-PCIE_lms7_trx_HW_1.2.jic" -submsgs [list "Ouput file saved in /output_files directory"]
post_message "Generated programming file: LimeSDR-PCIE_lms7_trx_HW_1.3.jic" -submsgs [list "Ouput file saved in /output_files directory"]
post_message "*******************************************************************"
qexec "quartus_cpf -c output_files/rbf_gen_setup.cof"
post_message "*******************************************************************"
post_message "Generated programming file: LimeSDR-PCIE_lms7_trx_HW_1.2.rbf" -submsgs [list "Ouput file saved in /output_files directory"]
post_message "Generated programming file: LimeSDR-PCIE_lms7_trx_HW_1.3.rbf" -submsgs [list "Ouput file saved in /output_files directory"]
post_message "*******************************************************************"
2 changes: 1 addition & 1 deletion output_files/jic_gen_setup.cof
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
<cof>
<eprom_name>EPCS64</eprom_name>
<flash_loader_device>EP4CGX30CF23</flash_loader_device>
<output_filename>output_files/LimeSDR-PCIE_lms7_trx_HW_1.2.jic</output_filename>
<output_filename>output_files/LimeSDR-PCIE_lms7_trx_HW_1.3.jic</output_filename>
<n_pages>1</n_pages>
<width>1</width>
<mode>7</mode>
Expand Down
2 changes: 1 addition & 1 deletion output_files/rbf_gen_setup.cof
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
<?xml version="1.0" encoding="US-ASCII" standalone="yes"?>
<cof>
<eprom_name>EPCE16</eprom_name>
<output_filename>output_files/LimeSDR-PCIE_lms7_trx_HW_1.2.rbf</output_filename>
<output_filename>output_files/LimeSDR-PCIE_lms7_trx_HW_1.3.rbf</output_filename>
<n_pages>1</n_pages>
<width>1</width>
<mode>0</mode>
Expand Down
8 changes: 4 additions & 4 deletions src/revision/revision.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -14,11 +14,11 @@ package revisions is
constant MAJOR_REV : INTEGER := 1;
constant MINOR_REV : INTEGER := 1;
constant BETA_REV : INTEGER := 1;
constant COMPILE_REV : INTEGER := 12;
constant COMPILE_REV : INTEGER := 0;
constant COMPILE_YEAR_STAMP : INTEGER := 17;
constant COMPILE_MONTH_STAMP : INTEGER := 02;
constant COMPILE_DAY_STAMP : INTEGER := 03;
constant COMPILE_HOUR_STAMP : INTEGER := 17;
constant COMPILE_MONTH_STAMP : INTEGER := 05;
constant COMPILE_DAY_STAMP : INTEGER := 25;
constant COMPILE_HOUR_STAMP : INTEGER := 14;

constant MAGIC_NUM : STD_LOGIC_VECTOR(31 downto 0) := X"D8A5F009";
end revisions;
2 changes: 1 addition & 1 deletion src/spi/fpgacfg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -184,7 +184,7 @@ begin
-- Load operation
elsif dout_reg_len = '1' then
case inst_reg(4 downto 0) is -- mux read-only outputs
when "00001" => dout_reg <= x"0001";
when "00001" => dout_reg <= x"0002";
when "00010" => dout_reg <= (15 downto 8 => '0') & std_logic_vector(to_unsigned(COMPILE_REV, 8));
when "00011" => dout_reg <= (15 downto 8 => '0') & PWR_SRC & BOM_VER & HW_VER;
when others => dout_reg <= mem(to_integer(unsigned(inst_reg(4 downto 0))));
Expand Down

0 comments on commit ed63f11

Please sign in to comment.