Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

event attribute not allowed on arrays #15

Closed
michg opened this issue Sep 17, 2013 · 6 comments
Closed

event attribute not allowed on arrays #15

michg opened this issue Sep 17, 2013 · 6 comments

Comments

@michg
Copy link

michg commented Sep 17, 2013

The following code leads to invalid attribute reference:

library ieee;
use ieee.std_logic_1164.all;

entity test7 is
port (
clk_i : in std_logic_vector(7 downto 0);
o : out std_logic
);
end entity test7;

architecture rtl of test7 is
begin
process (clk_i(0))
begin
if (clk_i(0)'event and clk_i(0)='1') then
o <= '1';
end if;
end process;

end architecture rtl;

@nickg
Copy link
Owner

nickg commented Sep 18, 2013

Fixed by b312d94

@nickg nickg closed this as completed Sep 18, 2013
@michg
Copy link
Author

michg commented Sep 18, 2013

Thanks a lot, the issue is fixed, I suceeded now to move to the elaboration stage. Unfortunately components are not allowed in elaboration (regress/comp1.vhd: instanciating components is not supported yet) and my complete
design is build up with components and not with entities..

@nickg
Copy link
Owner

nickg commented Sep 18, 2013

Unfortunately that's not implemented yet. Hopefully I'll add it soon.

@michg
Copy link
Author

michg commented Sep 19, 2013

That would be very helpful. A less important point: omiting outputs at instanciating is not allowed. That would be a nice option to reduce typing.

@nickg
Copy link
Owner

nickg commented Sep 20, 2013

Should be able to leave outputs unconnected now

@nickg
Copy link
Owner

nickg commented Oct 13, 2013

I've added some very basic support for component instantiation. Might be worth having another go?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants