-
-
Notifications
You must be signed in to change notification settings - Fork 80
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
event attribute not allowed on arrays #15
Comments
Fixed by b312d94 |
Thanks a lot, the issue is fixed, I suceeded now to move to the elaboration stage. Unfortunately components are not allowed in elaboration (regress/comp1.vhd: instanciating components is not supported yet) and my complete |
Unfortunately that's not implemented yet. Hopefully I'll add it soon. |
That would be very helpful. A less important point: omiting outputs at instanciating is not allowed. That would be a nice option to reduce typing. |
Should be able to leave outputs unconnected now |
I've added some very basic support for component instantiation. Might be worth having another go? |
The following code leads to invalid attribute reference:
library ieee;
use ieee.std_logic_1164.all;
entity test7 is
port (
clk_i : in std_logic_vector(7 downto 0);
o : out std_logic
);
end entity test7;
architecture rtl of test7 is
begin
process (clk_i(0))
begin
if (clk_i(0)'event and clk_i(0)='1') then
o <= '1';
end if;
end process;
end architecture rtl;
The text was updated successfully, but these errors were encountered: