Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

PSL - Assert Never not supported #914

Closed
Blebowski opened this issue Jul 7, 2024 · 0 comments
Closed

PSL - Assert Never not supported #914

Blebowski opened this issue Jul 7, 2024 · 0 comments

Comments

@Blebowski
Copy link
Contributor

Hi,

when trying to elaborate a simple assert never, I get unsupported error:

library ieee;
use ieee.std_logic_1164.all;

entity psl_assert_never is
end entity;

architecture tb of psl_assert_never is

    signal clk : std_logic;

    signal a : std_logic;

begin

    -- psl default clock is rising_edge(clk);

    -- psl asrt_1 : assert never
    --  (a = '1')
    --  report "This should not happen";

end architecture;
nvc -a --psl psl_assert_never.vhd -e psl_assert_never -r

** Fatal: cannot handle PSL kind P_NEVER in psl_fsm_new
    > psl_assert_never.vhd:17
    |
 17 |     -- psl asrt_1 : assert never
@nickg nickg closed this as completed in 457a937 Jul 13, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant