Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Added a VHDL function to reset a specific register. #31

Merged
merged 1 commit into from
Oct 25, 2021
Merged

Added a VHDL function to reset a specific register. #31

merged 1 commit into from
Oct 25, 2021

Conversation

oddball
Copy link
Owner

@oddball oddball commented Oct 25, 2021

This based on the register address.
So, there are now two registers. One to reset all
the registers. And one to reset one specific register.

I'm using this function to make a kind of clear-on-write
register.

Signed-off-by: Jan Vermaete jan.vermaete@gmail.com

This based on the register address.
So, there are now two registers.  One to reset all
the registers.  And one to reset one specific register.

I'm using this function to make a kind of clear-on-write
register.

Signed-off-by: Jan Vermaete <jan.vermaete@gmail.com>
@oddball oddball merged commit 3377bbe into oddball:vermaete Oct 25, 2021
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants