Skip to content

Commit

Permalink
Modifying mor1kx.core to enable yosys synthesis with target tinyfpga_bx
Browse files Browse the repository at this point in the history
  • Loading branch information
Nancy-Chauhan committed Jul 12, 2019
1 parent 55d3b81 commit 4718753
Show file tree
Hide file tree
Showing 3 changed files with 9 additions and 15 deletions.
5 changes: 0 additions & 5 deletions data/icebreaker.pcf

This file was deleted.

2 changes: 2 additions & 0 deletions data/tinyfpga_bx.pcf
Original file line number Diff line number Diff line change
@@ -0,0 +1,2 @@
set_io q A6
set_io i_clk B2
17 changes: 7 additions & 10 deletions mor1kx.core
Original file line number Diff line number Diff line change
Expand Up @@ -76,7 +76,7 @@ parameters:
description : Output mor1kx instruction trace to screen
paramtype : plusarg

icebreaker : {files: [data/icebreaker.pcf : {file_type : PCF}]}
tinyfpga_bx: {files: [data/tinyfpga_bx.pcf : {file_type : PCF}]}

targets:
default:
Expand All @@ -89,14 +89,11 @@ targets:
- "tool_rivierapro? (monitor)"
- "tool_xsim? (monitor)"
parameters: [trace_enable, trace_to_screen]
icebreaker:

tinyfpga_bx:
default_tool : icestorm
filesets : [core, fpu, icebreaker]
generate: [icebreaker_pll]
parameters : [PLL=ICE40_PAD]
tools:
filesets : [core, fpu, monitor, tinyfpga_bx]
tools:
icestorm:
nextpnr_options: [--up5k, --freq, 16]
pnr: next
toplevel : service
nextpnr_options : [--lp8k, --package, cm81, --freq, 32]
pnr: none

0 comments on commit 4718753

Please sign in to comment.