Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

update #1861

Merged
merged 3 commits into from Aug 2, 2022
Merged

update #1861

merged 3 commits into from Aug 2, 2022

Conversation

Aloento
Copy link
Member

@Aloento Aloento commented Aug 2, 2022

Summary of the Pull Request

PR Checklist

  • Refers to: #xxx
  • Tests added/passed.
  • Documentation updated.
  • Schema updated.
  • Release notes added.

Acceptance Steps Performed

=== RUN   TestAccSomethingV0_basic
--- PASS: TestAccSomethingV0_basic (101.71s)
=== RUN   TestAccSomethingV0_timeout
--- PASS: TestAccSomethingV0_timeout (128.67s)
PASS

Process finished with exit code 0

lego963 and others added 3 commits July 29, 2022 14:45
[ELB] Client from ctx in `resources/lb_v2`

Summary of the Pull Request
Use client from context in

resource/opentelekomcloud_lb_member_v2
resource/opentelekomcloud_lb_monitor_v2
resource/opentelekomcloud_lb_pool_v2
resource/opentelekomcloud_lb_whitelist_v2
resource/opentelekomcloud_lb_loadbalancer_v2
resource/opentelekomcloud_lb_listener_v2
resource/opentelekomcloud_lb_l7rule_v2
resource/opentelekomcloud_lb_l7policy_v2
resource/opentelekomcloud_lb_certificate_v2

PR Checklist

 Refers to: #1848
 Tests added/passed.
 Release notes added.

Acceptance Steps Performed
=== RUN   TestAccLBV2Whitelist_basic
=== PAUSE TestAccLBV2Whitelist_basic
=== CONT  TestAccLBV2Whitelist_basic
--- PASS: TestAccLBV2Whitelist_basic (137.18s)
PASS


Process finished with the exit code 0

=== RUN   TestAccLBV2Pool_basic
=== PAUSE TestAccLBV2Pool_basic
=== CONT  TestAccLBV2Pool_basic
--- PASS: TestAccLBV2Pool_basic (168.53s)
=== RUN   TestAccLBV2Pool_persistenceNull
=== PAUSE TestAccLBV2Pool_persistenceNull
=== CONT  TestAccLBV2Pool_persistenceNull
--- PASS: TestAccLBV2Pool_persistenceNull (113.58s)
PASS


Process finished with the exit code 0

=== RUN   TestAccLBV2Monitor_basic
=== PAUSE TestAccLBV2Monitor_basic
=== CONT  TestAccLBV2Monitor_basic
--- PASS: TestAccLBV2Monitor_basic (190.35s)
=== RUN   TestAccLBV2Monitor_minConfig
=== PAUSE TestAccLBV2Monitor_minConfig
=== CONT  TestAccLBV2Monitor_minConfig
--- PASS: TestAccLBV2Monitor_minConfig (190.90s)
PASS

Process finished with the exit code 0

=== RUN   TestAccLBV2Member_basic
=== PAUSE TestAccLBV2Member_basic
=== CONT  TestAccLBV2Member_basic
--- PASS: TestAccLBV2Member_basic (231.74s)
=== RUN   TestAccLBV2Member_import
=== PAUSE TestAccLBV2Member_import
=== CONT  TestAccLBV2Member_import
--- PASS: TestAccLBV2Member_import (154.02s)
PASS


Process finished with the exit code 0

=== RUN   TestAccLBV2LoadBalancer_basic
=== PAUSE TestAccLBV2LoadBalancer_basic
=== CONT  TestAccLBV2LoadBalancer_basic
--- PASS: TestAccLBV2LoadBalancer_basic (112.45s)
=== RUN   TestAccLBV2LoadBalancer_import
=== PAUSE TestAccLBV2LoadBalancer_import
=== CONT  TestAccLBV2LoadBalancer_import
--- PASS: TestAccLBV2LoadBalancer_import (72.07s)
PASS


Process finished with the exit code 0

=== RUN   TestAccLBV2L7Rule_basic
=== PAUSE TestAccLBV2L7Rule_basic
=== CONT  TestAccLBV2L7Rule_basic
--- PASS: TestAccLBV2L7Rule_basic (179.52s)
PASS


Process finished with the exit code 0

=== RUN   TestAccLBV2L7Policy_basic
=== PAUSE TestAccLBV2L7Policy_basic
=== CONT  TestAccLBV2L7Policy_basic
--- PASS: TestAccLBV2L7Policy_basic (118.36s)
PASS


Process finished with the exit code 0

=== RUN   TestAccLBV2Certificate_basic
=== PAUSE TestAccLBV2Certificate_basic
=== CONT  TestAccLBV2Certificate_basic
--- PASS: TestAccLBV2Certificate_basic (135.80s)
=== RUN   TestAccLBV2Certificate_import
=== PAUSE TestAccLBV2Certificate_import
=== CONT  TestAccLBV2Certificate_import
--- PASS: TestAccLBV2Certificate_import (51.91s)
PASS


Process finished with the exit code 0

=== RUN   TestAccLBV2Listener_tls
=== PAUSE TestAccLBV2Listener_tls
=== CONT  TestAccLBV2Listener_tls
--- PASS: TestAccLBV2Listener_tls (144.58s)
PASS


Process finished with the exit code 0

Reviewed-by: Aloento <None>
Reviewed-by: Vladimir Vshivkov <None>
[VPC] Use client from ctx in `vpc_2`

Summary of the Pull Request
Use client from ctx in floatingip_v2, foatingip_associate_v2, vpc_peering_connection_v2, port_v2, vpc_route_v2
PR Checklist

 Refers to: #1848
 Tests added/passed.
 Schema updated.
 Release notes added.

Acceptance Steps Performed
Read comments

Reviewed-by: Artem Lifshits <None>
Reviewed-by: Anton Sidelnikov <None>
[IAM]: email validation added & resource Update fix

Summary of the Pull Request
This PR adds validation for email schema.
PR Checklist

 Refers to:  #1850
 Closes: #1855
 Tests added/passed.
 Schema updated.
 Release notes added.

Acceptance Steps Performed
=== RUN   TestAccIdentityV3User_basic
--- PASS: TestAccIdentityV3User_basic (68.59s)
=== RUN   TestAccIdentityV3User_importBasic
--- PASS: TestAccIdentityV3User_importBasic (64.83s)
PASS

Process finished with the exit code 0

Reviewed-by: Rodion Gyrbu <fpsoff@outlook.com>
Reviewed-by: Aloento <None>
Reviewed-by: Anton Sidelnikov <None>
Reviewed-by: Artem Lifshits <None>
@Aloento Aloento merged commit bec0308 into capacity Aug 2, 2022
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants