Skip to content

Commit

Permalink
Clean up README
Browse files Browse the repository at this point in the history
  • Loading branch information
portegys committed Aug 24, 2014
1 parent 01d9c2e commit 05d8611
Show file tree
Hide file tree
Showing 37 changed files with 6 additions and 6 deletions.
12 changes: 6 additions & 6 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -30,13 +30,13 @@ In addition to bionet, see the CElegans/Readme.txt for related projects
that are specific to C. elegans.

##Required packages:

```
1. UNIX: the gcc compiler, make command, and the bash shell.
2. Windows: Microsoft Visual C++ 2012 (or later) IDE.
3. Pthreads.

```
##Optional packages:

```
1. Fourier Transform undulation behavior evaluation:
FFTW3 Fourier Transform package: www.fftw.org
2. NEURON simulator evaluation:
Expand Down Expand Up @@ -64,15 +64,15 @@ that are specific to C. elegans.
Stimuli:
<neuron name>
...

```
###To build:

```
UNIX:
1. 'make'
2. For Fourier Transform undulation behavior evaluation: 'make fft_undulation_eval'
Windows: use VC++ solution and project files.

```
###Commands:

**Create network:**
Expand Down
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.

0 comments on commit 05d8611

Please sign in to comment.