Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Build breaks on i386 architectures: constant expression evaluates to 18446744073709551613 which cannot be narrowed to type 'unsigned int' #2469

Open
yurivict opened this issue Sep 20, 2020 · 2 comments

Comments

@yurivict
Copy link

/wrkdirs/usr/ports/misc/adios2/work/ADIOS2-2.6.0/source/adios2/engine/ssc/SscReader.cpp:351:41: error: constant expression evaluates to 18446744073709551613 which cannot be narrowed to type 'unsigned int' [-Wc++11-narrowing]
            ADIOS2_FOREACH_STDTYPE_1ARG(declare_type)
            ~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~
/wrkdirs/usr/ports/misc/adios2/work/ADIOS2-2.6.0/source/adios2/common/ADIOSMacros.h:58:5: note: expanded from macro 'ADIOS2_FOREACH_STDTYPE_1ARG'
    MACRO(std::string)                                                         \
    ^~~~~~~~~~~~~~~~~~
/wrkdirs/usr/ports/misc/adios2/work/ADIOS2-2.6.0/source/adios2/engine/ssc/SscReader.cpp:343:49: note: expanded from macro 'declare_type'
                m_IO.DefineVariable<T>(b.name, {adios2::LocalValueDim});       \
                                                ^~~~~~~~~~~~~~~~~~~~~
/wrkdirs/usr/ports/misc/adios2/work/ADIOS2-2.6.0/source/adios2/engine/ssc/SscReader.cpp:351:41: note: insert an explicit cast to silence this issue
            ADIOS2_FOREACH_STDTYPE_1ARG(declare_type)
            ~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~

Log: http://beefy10.nyi.freebsd.org/data/113i386-default/549079/logs/adios2-2.6.0_1.log

@yurivict
Copy link
Author

@dmitry-ganyushin Could you please fix this?

@williamfgc
Copy link
Contributor

williamfgc commented Sep 25, 2020

@yurivict FYI, adios2 doesn't support 32-bit systems and is not currently being tested

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants