Skip to content

Commit

Permalink
Add missing Log and Ident strings.
Browse files Browse the repository at this point in the history
  • Loading branch information
steve committed Aug 11, 2002
1 parent d24cd01 commit aca1dcf
Show file tree
Hide file tree
Showing 28 changed files with 245 additions and 26 deletions.
8 changes: 6 additions & 2 deletions dosify.c
Original file line number Diff line number Diff line change
Expand Up @@ -15,9 +15,10 @@
* You should have received a copy of the GNU General Public License
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*
* $Id: dosify.c,v 1.1 2001/08/03 17:06:47 steve Exp $
*/
#if !defined(WINNT)
#ident "$Id: dosify.c,v 1.2 2002/08/11 23:47:04 steve Exp $"
#endif

/*
* This is a simple program to make a dosified copy of the
Expand Down Expand Up @@ -68,6 +69,9 @@ int main(int argc, char*argv[])

/*
* $Log: dosify.c,v $
* Revision 1.2 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.1 2001/08/03 17:06:47 steve
* Add install of examples for Windows.
*
Expand Down
7 changes: 6 additions & 1 deletion driver/substit.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: substit.c,v 1.2 2002/06/25 01:33:01 steve Exp $"
#if !defined(WINNT)
#ident "$Id: substit.c,v 1.3 2002/08/11 23:47:04 steve Exp $"
#endif

# include <string.h>
# include <stdlib.h>
Expand Down Expand Up @@ -94,6 +96,9 @@ char* substitutions(const char*str)

/*
* $Log: substit.c,v $
* Revision 1.3 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.2 2002/06/25 01:33:01 steve
* include malloc.h only when available.
*
Expand Down
7 changes: 6 additions & 1 deletion examples/hello_vpi.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: hello_vpi.c,v 1.1 2002/04/18 03:25:16 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: hello_vpi.c,v 1.2 2002/08/11 23:47:04 steve Exp $"
#endif

/*
* This file contains an example VPI module to demonstrate the tools
Expand Down Expand Up @@ -60,6 +62,9 @@ void (*vlog_startup_routines[])() = {
};
/*
* $Log: hello_vpi.c,v $
* Revision 1.2 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.1 2002/04/18 03:25:16 steve
* More examples.
*
Expand Down
9 changes: 7 additions & 2 deletions net_modulo.cc
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: net_modulo.cc,v 1.3 2001/10/28 01:14:53 steve Exp $"
#ident "$Id: net_modulo.cc,v 1.4 2002/08/11 23:47:04 steve Exp $"
#endif

# include "config.h"
Expand Down Expand Up @@ -104,4 +104,9 @@ const Link& NetModulo::pin_DataB(unsigned idx) const
return pin(idx+width_r_+width_a_);
}


/*
* $Log: net_modulo.cc,v $
* Revision 1.4 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
*/
7 changes: 6 additions & 1 deletion tgt-fpga/d-generic-edif.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: d-generic-edif.c,v 1.7 2001/09/16 01:48:16 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: d-generic-edif.c,v 1.8 2002/08/11 23:47:04 steve Exp $"
#endif

# include "device.h"
# include "fpga_priv.h"
Expand Down Expand Up @@ -398,6 +400,9 @@ const struct device_s d_generic_edif = {

/*
* $Log: d-generic-edif.c,v $
* Revision 1.8 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.7 2001/09/16 01:48:16 steve
* Suppor the PAD attribute on signals.
*
Expand Down
7 changes: 6 additions & 1 deletion tgt-fpga/d-generic.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: d-generic.c,v 1.9 2001/09/16 01:48:16 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: d-generic.c,v 1.10 2002/08/11 23:47:04 steve Exp $"
#endif

# include "device.h"
# include "fpga_priv.h"
Expand Down Expand Up @@ -508,6 +510,9 @@ const struct device_s d_generic = {

/*
* $Log: d-generic.c,v $
* Revision 1.10 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.9 2001/09/16 01:48:16 steve
* Suppor the PAD attribute on signals.
*
Expand Down
7 changes: 6 additions & 1 deletion tgt-fpga/d-virtex.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: d-virtex.c,v 1.11 2001/10/11 00:12:28 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: d-virtex.c,v 1.12 2002/08/11 23:47:04 steve Exp $"
#endif

# include "device.h"
# include "fpga_priv.h"
Expand Down Expand Up @@ -1062,6 +1064,9 @@ const struct device_s d_virtex_edif = {

/*
* $Log: d-virtex.c,v $
* Revision 1.12 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.11 2001/10/11 00:12:28 steve
* Generate BUF devices for bufz logic.
*
Expand Down
7 changes: 6 additions & 1 deletion tgt-fpga/device.h
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: device.h,v 1.7 2001/09/16 01:48:16 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: device.h,v 1.8 2002/08/11 23:47:04 steve Exp $"
#endif

# include <ivl_target.h>

Expand Down Expand Up @@ -66,6 +68,9 @@ extern device_t device_from_arch(const char*arch);

/*
* $Log: device.h,v $
* Revision 1.8 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.7 2001/09/16 01:48:16 steve
* Suppor the PAD attribute on signals.
*
Expand Down
7 changes: 6 additions & 1 deletion tgt-fpga/fpga_priv.h
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: fpga_priv.h,v 1.4 2001/09/06 04:28:40 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: fpga_priv.h,v 1.5 2002/08/11 23:47:04 steve Exp $"
#endif

# include <stdio.h>
# include "device.h"
Expand Down Expand Up @@ -81,6 +83,9 @@ extern unsigned edif_uref;

/*
* $Log: fpga_priv.h,v $
* Revision 1.5 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.4 2001/09/06 04:28:40 steve
* Separate the virtex and generic-edif code generators.
*
Expand Down
7 changes: 6 additions & 1 deletion tgt-fpga/gates.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: gates.c,v 1.7 2001/09/09 22:23:28 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: gates.c,v 1.8 2002/08/11 23:47:04 steve Exp $"
#endif

# include <ivl_target.h>
# include "fpga_priv.h"
Expand Down Expand Up @@ -98,6 +100,9 @@ int show_scope_gates(ivl_scope_t net, void*x)

/*
* $Log: gates.c,v $
* Revision 1.8 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.7 2001/09/09 22:23:28 steve
* Virtex support for mux devices and adders
* with carry chains. Also, make Virtex specific
Expand Down
8 changes: 6 additions & 2 deletions tgt-fpga/mangle.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,8 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: mangle.c,v 1.4 2001/09/15 18:27:04 steve Exp $"

#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: mangle.c,v 1.5 2002/08/11 23:47:04 steve Exp $"
#endif

# include "fpga_priv.h"
# include <string.h>
Expand Down Expand Up @@ -97,6 +98,9 @@ const char* xnf_mangle_nexus_name(ivl_nexus_t net)

/*
* $Log: mangle.c,v $
* Revision 1.5 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.4 2001/09/15 18:27:04 steve
* Make configure detect malloc.h
*
Expand Down
7 changes: 6 additions & 1 deletion tgt-fpga/tables.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: tables.c,v 1.2 2001/09/06 04:28:40 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: tables.c,v 1.3 2002/08/11 23:47:04 steve Exp $"
#endif

# include "fpga_priv.h"
# include <string.h>
Expand Down Expand Up @@ -54,6 +56,9 @@ device_t device_from_arch(const char*arch)

/*
* $Log: tables.c,v $
* Revision 1.3 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.2 2001/09/06 04:28:40 steve
* Separate the virtex and generic-edif code generators.
*
Expand Down
8 changes: 6 additions & 2 deletions tgt-pal/enables.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,8 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: enables.c,v 1.4 2001/07/25 03:10:50 steve Exp $"

#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: enables.c,v 1.5 2002/08/11 23:47:04 steve Exp $"
#endif

# include "config.h"
# include "ivl_target.h"
Expand Down Expand Up @@ -79,6 +80,9 @@ void absorb_pad_enables(void)

/*
* $Log: enables.c,v $
* Revision 1.5 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.4 2001/07/25 03:10:50 steve
* Create a config.h.in file to hold all the config
* junk, and support gcc 3.0. (Stephan Boettcher)
Expand Down
8 changes: 7 additions & 1 deletion tgt-vvp/draw_mux.c
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#if !defined(WINNT)
#ident "$Id: draw_mux.c,v 1.2 2002/07/16 03:15:11 steve Exp $"
#ident "$Id: draw_mux.c,v 1.3 2002/08/11 23:47:04 steve Exp $"
#endif

# include "vvp_priv.h"
Expand Down Expand Up @@ -170,3 +170,9 @@ void draw_lpm_mux(ivl_lpm_t net)

}

/*
* $Log: draw_mux.c,v $
* Revision 1.3 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
*/
7 changes: 5 additions & 2 deletions tgt-vvp/vvp.c
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: vvp.c,v 1.13 2001/10/19 21:53:24 steve Exp $"
#ident "$Id: vvp.c,v 1.14 2002/08/11 23:47:04 steve Exp $"
#endif

/*
Expand Down Expand Up @@ -103,5 +103,8 @@ int target_design(ivl_design_t des)
}

/*
* $Log: vvp.c,v $
* Revision 1.14 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
*/

10 changes: 10 additions & 0 deletions vpi/lxt_write.c
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,9 @@
* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER
* DEALINGS IN THE SOFTWARE.
*/
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: lxt_write.c,v 1.2 2002/08/11 23:47:04 steve Exp $"
#endif

#include "lxt_write.h"

Expand Down Expand Up @@ -1526,3 +1529,10 @@ if(!(s->flags&(LT_SYM_F_DOUBLE|LT_SYM_F_STRING)))

return(rc);
}

/*
* $Log: lxt_write.c,v $
* Revision 1.2 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
*/
10 changes: 10 additions & 0 deletions vpi/lxt_write.h
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,9 @@
* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER
* DEALINGS IN THE SOFTWARE.
*/
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: lxt_write.h,v 1.2 2002/08/11 23:47:04 steve Exp $"
#endif

#ifndef DEFS_LXT_H
#define DEFS_LXT_H
Expand Down Expand Up @@ -154,3 +157,10 @@ int lt_emit_value_string(struct lt_trace *lt, struct lt_symbol *s, unsigned i
int lt_emit_value_bit_string(struct lt_trace *lt, struct lt_symbol *s, unsigned int row, char *value);

#endif

/*
* $Log: lxt_write.h,v $
* Revision 1.2 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
*/
9 changes: 9 additions & 0 deletions vpi/mt19937int.c
Original file line number Diff line number Diff line change
Expand Up @@ -10,6 +10,9 @@
*
* Change the function prototypes to use ANSI/ISO C syntax.
*/
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: mt19937int.c,v 1.2 2002/08/11 23:47:04 steve Exp $"
#endif

/* A C-program for MT19937: Integer version (1998/4/6) */
/* genrand() generates one pseudorandom unsigned integer (32bit) */
Expand Down Expand Up @@ -113,3 +116,9 @@ genrand()
return y;
}

/*
* $Log: mt19937int.c,v $
* Revision 1.2 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
*/
7 changes: 6 additions & 1 deletion vpi/sys_plusargs.c
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,9 @@
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
*/
#ident "$Id: sys_plusargs.c,v 1.2 2002/08/10 17:00:31 steve Exp $"
#if !defined(WINNT) && !defined(macintosh)
#ident "$Id: sys_plusargs.c,v 1.3 2002/08/11 23:47:04 steve Exp $"
#endif

# include <vpi_user.h>
# include <string.h>
Expand Down Expand Up @@ -327,6 +329,9 @@ void sys_plusargs_register()

/*
* $Log: sys_plusargs.c,v $
* Revision 1.3 2002/08/11 23:47:04 steve
* Add missing Log and Ident strings.
*
* Revision 1.2 2002/08/10 17:00:31 steve
* Allow vpiIntegerVar as parameter to $value$plusarg
*
Expand Down
Loading

0 comments on commit aca1dcf

Please sign in to comment.