Skip to content
This repository has been archived by the owner on Apr 20, 2024. It is now read-only.

Commit

Permalink
remove MacroCompilerOptimizations
Browse files Browse the repository at this point in the history
1. this class is not used in any public projects.
2. passes.Legalize was removed by chipsalliance/firrtl#2304
  • Loading branch information
sequencer committed Aug 9, 2021
1 parent 40bfd71 commit 22423dc
Showing 1 changed file with 0 additions and 17 deletions.
17 changes: 0 additions & 17 deletions macros/src/main/scala/barstools/macros/MacroCompiler.scala
Original file line number Diff line number Diff line change
Expand Up @@ -772,23 +772,6 @@ class MacroCompilerTransform extends Transform with DependencyAPIMigration {
}
}

class MacroCompilerOptimizations extends SeqTransform with DependencyAPIMigration {
override def prerequisites = Forms.LowForm
override def optionalPrerequisites = Forms.LowFormOptimized
override def optionalPrerequisiteOf = Forms.LowEmitters
override def invalidates(a: Transform) = false

def transforms: Seq[Transform] = Seq(
passes.RemoveValidIf,
new firrtl.transforms.ConstantPropagation,
passes.memlib.VerilogMemDelays,
new firrtl.transforms.ConstantPropagation,
passes.Legalize,
passes.SplitExpressions,
passes.CommonSubexpressionElimination
)
}

object MacroCompiler extends App {
sealed trait MacroParam
case object Macros extends MacroParam
Expand Down

0 comments on commit 22423dc

Please sign in to comment.