Skip to content

Commit

Permalink
remove () from asUInt() (#72)
Browse files Browse the repository at this point in the history
  • Loading branch information
sequencer committed Apr 26, 2023
1 parent bf09515 commit 9deaf1d
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion src/main/scala/MulAddRecFN.scala
Original file line number Diff line number Diff line change
Expand Up @@ -236,7 +236,7 @@ class MulAddRecFNToRaw_postMul(expWidth: Int, sigWidth: Int) extends RawModule
val notCDom_reduced2AbsSigSum = orReduceBy2(notCDom_absSigSum)
val notCDom_normDistReduced2 = countLeadingZeros(notCDom_reduced2AbsSigSum)
val notCDom_nearNormDist = notCDom_normDistReduced2<<1
val notCDom_sExp = io.fromPreMul.sExpSum - notCDom_nearNormDist.asUInt().zext
val notCDom_sExp = io.fromPreMul.sExpSum - notCDom_nearNormDist.asUInt.zext
val notCDom_mainSig =
(notCDom_absSigSum<<notCDom_nearNormDist)(
sigWidth * 2 + 3, sigWidth - 1)
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/RoundAnyRawFNToRecFN.scala
Original file line number Diff line number Diff line change
Expand Up @@ -181,7 +181,7 @@ class
)
//*** IF SIG WIDTH IS VERY NARROW, NEED TO ACCOUNT FOR ROUND-EVEN ZEROING
//*** M.S. BIT OF SUBNORMAL SIG?
val sRoundedExp = sAdjustedExp +& (roundedSig>>outSigWidth).asUInt().zext
val sRoundedExp = sAdjustedExp +& (roundedSig>>outSigWidth).asUInt.zext

common_expOut := sRoundedExp(outExpWidth, 0)
common_fractOut :=
Expand Down

0 comments on commit 9deaf1d

Please sign in to comment.