Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Code in Wiki is dated #80

Open
jackkoenig opened this issue Mar 7, 2017 · 3 comments
Open

Code in Wiki is dated #80

jackkoenig opened this issue Mar 7, 2017 · 3 comments

Comments

@jackkoenig
Copy link

eg. https://github.com/ucb-bar/chisel-tutorial/wiki/Basic%20Types%20and%20Operations

class FullAdder extends Module {
  val io = IO(new Bundle {
    val a    = UInt(INPUT, 1)
    val b    = UInt(INPUT, 1)
    val cin  = UInt(INPUT, 1)
    val sum  = UInt(OUTPUT, 1)
    val cout = UInt(OUTPUT, 1)
  })

...
@chick
Copy link
Contributor

chick commented Mar 7, 2017

I'll fix it up

@chick
Copy link
Contributor

chick commented Mar 7, 2017

@jackkoenig created a PR on chisel-tutorial-wiki repo. Hopefully it's ok, at the very least it's way more up-to-date than it was

@chick
Copy link
Contributor

chick commented May 26, 2017

Looks fixed on wiki now

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants