Skip to content

Issues: verilator/verilator

FAQ: How to register for announcements
#4298 opened Jun 17, 2023 by wsnyder
Open
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Coverage count not updated during ConstPool lookup new New issue not seen by maintainers
#5473 opened Sep 20, 2024 by nickelpro
FST trace code asserts when model name is empty area: tracing Issue involves tracing status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5470 opened Sep 20, 2024 by sam-shahrestani
Non-parameterized class takes parameters status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5467 opened Sep 19, 2024 by chykon
Fix can't locate varref scope error in interface task delayed assignment status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5462 opened Sep 18, 2024 by neeldugar
Error accessing typedef declared inside parameterized class area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5461 opened Sep 18, 2024 by chykon
Support checking access qualifier for typedef inside class area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5460 opened Sep 18, 2024 by chykon
Support Struct Array Unconstrained Randomization area: randomization Issue involves randomization/constraints status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#5455 opened Sep 18, 2024 by YilouWang
Fix associative array methods not erroring on incompatible types area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5439 opened Sep 6, 2024 by sifferman
Support std::randomize outside of class area: randomization Issue involves randomization/constraints status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5438 opened Sep 6, 2024 by steven-bellock
Support for Constrained Randomization of Unpacked Arrays area: randomization Issue involves randomization/constraints status: assigned Issue is assigned to someone to work on type: feature-IEEE Request to add new feature, described in IEEE 1800
#5437 opened Sep 6, 2024 by YilouWang
Support disabling fork by name status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#5432 opened Sep 5, 2024 by WisniewskiP
Extreme performance loss when upgrading to gcc-13 status: blocked Issue is waiting for another bug, when other bug is fixed, then goes to 'status: assigned'
#5429 opened Sep 3, 2024 by joern274
Modport item not found (w/ --hierarchical) status: asked reporter Bug is waiting for reporter to answer a question
#5428 opened Sep 3, 2024 by toddstrader
Fix Internal: Solver error: Argument size at position 1 has sort (_ BitVec 4) it does not match declaration area: randomization Issue involves randomization/constraints status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5420 opened Aug 30, 2024 by solomatnikov
Support for Unconstrained Randomization of Packed and Unpacked Arrays area: randomization Issue involves randomization/constraints status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#5414 opened Aug 28, 2024 by YilouWang
configure checking features with different std= then compilation area: configure/compiling Issue involves configuring or compilating Verilator itself status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5404 opened Aug 25, 2024 by ktbarrett
Support for wired OR and wired AND nets status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#5386 opened Aug 19, 2024 by Mijyuoon
Ambiguous error if an external virtual class function is used instead of a local function in a let statement area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5385 opened Aug 18, 2024 by goekce
Improper continuous assignment bug status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5381 opened Aug 16, 2024 by AndrewNolte
Improve trace performance on huge designs area: tracing Issue involves tracing status: discussion Issue is waiting for discussions to resolve
#5379 opened Aug 16, 2024 by jcteng
Verilator Unexpected Crash at traceAll area: performance Issue involves performance issues area: scheduling Issue involves scheduling/ordering of events status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5372 opened Aug 14, 2024 by DenizzzGuzell
Inability to code split inside for loop causes large output file area: performance Issue involves performance issues status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5371 opened Aug 14, 2024 by RootCubed
Add type check to prevent C++ error: assigning to 'IData' from incompatible type VlQueue area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5370 opened Aug 14, 2024 by solomatnikov
Config file effects are non-intuitive in the presence of conflicting directives area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5368 opened Aug 13, 2024 by gezalore
Improve error message for unconnected interfaces area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#5357 opened Aug 9, 2024 by AndrewNolte
ProTip! Mix and match filters to narrow down what you’re looking for.