Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

#1163: fix for instance port indention inside generate for loop #1699

Closed
wants to merge 1 commit into from

Conversation

ruelparent
Copy link

@ruelparent ruelparent commented Oct 25, 2020

No description provided.

wsnyder added a commit that referenced this pull request Sep 16, 2021
* verilog-mode.el (verilog-in-generate-region-p):
Fix instance port indention inside generate for loop (#1163) (#1699).
Reported by ruelparent.
@wsnyder
Copy link
Member

wsnyder commented Sep 16, 2021

Hmm, seems like patch this wasn't based off master. Anyways I merged it manually, thanks for the contribution.

@wsnyder wsnyder closed this Sep 16, 2021
@ruelparent
Copy link
Author

ruelparent commented Sep 16, 2021 via email

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants