Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fix alignment of declaration of interfaces with modports #1770

Merged
merged 1 commit into from Jun 9, 2022

Conversation

gmlarumbe
Copy link
Contributor

This PR fixes alignment of modport declarations.

Related issue: #636

Signed-off-by: Gonzalo Larumbe <gonzalomlarumbe@gmail.com>
@wsnyder wsnyder merged commit a2b8620 into veripool:master Jun 9, 2022
wsnyder pushed a commit that referenced this pull request Jun 9, 2022
* verilog-mode.el (verilog-declaration-or-iface-mp-re)
(verilog-declaration-or-iface-mp-re-1-no-macro)
(verilog-declaration-or-iface-mp-re-2-no-macro)
(verilog-get-lineup-indent, verilog-interface-modport-re)
(verilog-pretty-declarations):
Fix alignment of declaration of interfaces with modports (#1770).
wsnyder pushed a commit that referenced this pull request Jun 9, 2022
* verilog-mode.el (verilog-declaration-or-iface-mp-re)
(verilog-declaration-or-iface-mp-re-1-no-macro)
(verilog-declaration-or-iface-mp-re-2-no-macro)
(verilog-get-lineup-indent, verilog-interface-modport-re)
(verilog-pretty-declarations):
Fix alignment of declaration of interfaces with modports (#636) (#1770).
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants