Skip to content
This repository has been archived by the owner on Nov 9, 2017. It is now read-only.

Commit

Permalink
Fix broken test
Browse files Browse the repository at this point in the history
  • Loading branch information
seanf committed Jul 29, 2013
1 parent 921cf46 commit d7d94d1
Showing 1 changed file with 9 additions and 9 deletions.
Expand Up @@ -42,30 +42,30 @@ private TMXStreamingOutput<TransMemoryUnit> streamSourceContents()
"doc0:resId0",
"doc0:resId0",
sourceLoc,
"source0",
tuv(fr, "targetFR0"),
tuv(de, "targetDE0")),
"<seg>source0</seg>",
tuv(fr, "<seg>targetFR0</seg>"),
tuv(de, "<seg>targetDE0</seg>")),
tu(
tm,
"doc0:resId1",
"doc0:resId1",
sourceLoc,
"SOURCE0",
tuv(fr, "TARGETfr0")),
"<seg>SOURCE0</seg>",
tuv(fr, "<seg>TARGETfr0</seg>")),
tu(
tm,
"doc1:resId0",
"doc1:resId0",
sourceLoc,
"source0",
tuv(fr, "targetFR0")),
"<seg>source0</seg>",
tuv(fr, "<seg>targetFR0</seg>")),
tu(
tm,
"doc1:resId1",
"doc1:resId1",
sourceLoc,
"SOURCE0",
tuv(de, "TARGETde0"))).iterator();
"<seg>SOURCE0</seg>",
tuv(de, "<seg>TARGETde0</seg>"))).iterator();
}

}

0 comments on commit d7d94d1

Please sign in to comment.