Skip to content

Issues: mshr-h/vscode-verilog-hdl-support

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

[BUG] ctags not work bug
#483 opened Apr 14, 2024 by jiang131072
xvlog linting doesn't work bug
#475 opened Apr 2, 2024 by pikapuma
add svls toml file path
#468 opened Mar 1, 2024 by zoomer-k
[enhancement] support ptags
#461 opened Jan 19, 2024 by zoomer-k
[BUG] `define Change Color bug
#430 opened Jul 4, 2023 by 2018boyan
ProTip! Mix and match filters to narrow down what you’re looking for.