Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Asap7 ccs gcd #2000

Merged
362 changes: 181 additions & 181 deletions flow/designs/asap7/aes-block/metadata-base-ok.json

Large diffs are not rendered by default.

6 changes: 3 additions & 3 deletions flow/designs/asap7/aes-block/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -24,7 +24,7 @@
"compare": "<="
},
"cts__design__instance__count__hold_buffer": {
"value": 1491,
"value": 1650,
"compare": "<="
},
"detailedroute__route__wirelength": {
Expand All @@ -36,7 +36,7 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -311.26,
"value": -285.81,
"compare": ">="
},
"finish__design__instance__area": {
Expand All @@ -48,7 +48,7 @@
"compare": "<="
},
"finish__timing__drv__hold_violation_count": {
"value": 266,
"value": 238,
"compare": "<="
},
"finish__timing__wns_percent_delay": {
Expand Down
10 changes: 5 additions & 5 deletions flow/designs/asap7/aes_lvt/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -15,11 +15,11 @@ export PLACE_DENSITY = 0.65
export TNS_END_PERCENT = 100

export ASAP7_USELVT = 1
export ADDITIONAL_LIBS = $(PLATFORM_DIR)/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib
export ADDITIONAL_LIBS = $(LIB_DIR)/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz \
$(LIB_DIR)/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz \
$(LIB_DIR)/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz \
$(LIB_DIR)/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz \
$(LIB_DIR)/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib

export ADDITIONAL_GDS = $(PLATFORM_DIR)/gds/asap7sc7p5t_28_R_220121a.gds
export ADDITIONAL_LEFS = $(PLATFORM_DIR)/lef/asap7sc7p5t_28_R_1x_220121a.lef
Expand Down
2 changes: 0 additions & 2 deletions flow/designs/asap7/ethmac/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -10,5 +10,3 @@ export CORE_UTILIZATION = 40
export CORE_ASPECT_RATIO = 1
export CORE_MARGIN = 2
export PLACE_DENSITY = 0.60

export DFF_LIB_FILE = $($(CORNER)_DFF_LIB_FILE)
12 changes: 5 additions & 7 deletions flow/designs/asap7/ethmac_lvt/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -12,14 +12,12 @@ export CORE_ASPECT_RATIO = 1
export CORE_MARGIN = 2
export PLACE_DENSITY = 0.60

export DFF_LIB_FILE = $($(CORNER)_DFF_LIB_FILE)

export ASAP7_USELVT = 1
export ADDITIONAL_LIBS = $(PLATFORM_DIR)/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz \
$(PLATFORM_DIR)/lib/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib
export ADDITIONAL_LIBS = $(LIB_DIR)/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz \
$(LIB_DIR)/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz \
$(LIB_DIR)/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz \
$(LIB_DIR)/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz \
$(LIB_DIR)/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib

export ADDITIONAL_GDS = $(PLATFORM_DIR)/gds/asap7sc7p5t_28_R_220121a.gds
export ADDITIONAL_LEFS = $(PLATFORM_DIR)/lef/asap7sc7p5t_28_R_1x_220121a.lef
Expand Down
3 changes: 3 additions & 0 deletions flow/designs/asap7/gcd-ccs/config.mk
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
export DESIGN_NICKNAME = gcd-ccs
export LIB_MODEL = CCS
include designs/asap7/gcd/config.mk
308 changes: 308 additions & 0 deletions flow/designs/asap7/gcd-ccs/metadata-base-ok.json
Original file line number Diff line number Diff line change
@@ -0,0 +1,308 @@
{
"constraints__clocks__count": 1,
"constraints__clocks__details": [
"core_clock: 390.0000"
],
"cts__clock__skew__hold": 5.4392,
"cts__clock__skew__setup": 1.93837,
"cts__cpu__total": 11.57,
"cts__design__core__area": 197.122,
"cts__design__die__area": 262.44,
"cts__design__instance__area": 47.7495,
"cts__design__instance__area__macros": 0,
"cts__design__instance__area__stdcell": 47.7495,
"cts__design__instance__count": 478,
"cts__design__instance__count__hold_buffer": 0,
"cts__design__instance__count__macros": 0,
"cts__design__instance__count__setup_buffer": 26,
"cts__design__instance__count__stdcell": 478,
"cts__design__instance__displacement__max": 0.576,
"cts__design__instance__displacement__mean": 0.016,
"cts__design__instance__displacement__total": 8.105,
"cts__design__instance__utilization": 0.242234,
"cts__design__instance__utilization__stdcell": 0.242234,
"cts__design__io": 54,
"cts__design__violations": 0,
"cts__flow__errors__count": 0,
"cts__flow__warnings__count": 18,
"cts__mem__peak": 430456.0,
"cts__power__internal__total": 0.000674632,
"cts__power__leakage__total": 3.02983e-08,
"cts__power__switching__total": 0.000349954,
"cts__power__total": 0.00102462,
"cts__route__wirelength__estimated": 958.544,
"cts__runtime__total": "0:11.82",
"cts__timing__drv__hold_violation_count": 0,
"cts__timing__drv__max_cap": 0,
"cts__timing__drv__max_cap_limit": 0.76805,
"cts__timing__drv__max_fanout": 0,
"cts__timing__drv__max_fanout_limit": 0,
"cts__timing__drv__max_slew": 0,
"cts__timing__drv__max_slew_limit": 0.75796,
"cts__timing__drv__setup_violation_count": 0,
"cts__timing__setup__tns": 0,
"cts__timing__setup__ws": 0.176664,
"design__io__hpwl": 252508,
"detailedplace__cpu__total": 9.08,
"detailedplace__design__core__area": 197.122,
"detailedplace__design__die__area": 262.44,
"detailedplace__design__instance__area": 45.2855,
"detailedplace__design__instance__area__macros": 0,
"detailedplace__design__instance__area__stdcell": 45.2855,
"detailedplace__design__instance__count": 446,
"detailedplace__design__instance__count__macros": 0,
"detailedplace__design__instance__count__stdcell": 446,
"detailedplace__design__instance__displacement__max": 0.696,
"detailedplace__design__instance__displacement__mean": 0.137,
"detailedplace__design__instance__displacement__total": 61.15,
"detailedplace__design__instance__utilization": 0.229734,
"detailedplace__design__instance__utilization__stdcell": 0.229734,
"detailedplace__design__io": 54,
"detailedplace__design__violations": 0,
"detailedplace__flow__errors__count": 0,
"detailedplace__flow__warnings__count": 18,
"detailedplace__mem__peak": 415384.0,
"detailedplace__power__internal__total": 0.000603455,
"detailedplace__power__leakage__total": 2.87458e-08,
"detailedplace__power__switching__total": 0.000299724,
"detailedplace__power__total": 0.000903208,
"detailedplace__route__wirelength__estimated": 920.933,
"detailedplace__runtime__total": "0:09.31",
"detailedplace__timing__drv__hold_violation_count": 0,
"detailedplace__timing__drv__max_cap": 0,
"detailedplace__timing__drv__max_cap_limit": 0.727651,
"detailedplace__timing__drv__max_fanout": 0,
"detailedplace__timing__drv__max_fanout_limit": 0,
"detailedplace__timing__drv__max_slew": 0,
"detailedplace__timing__drv__max_slew_limit": 0.716403,
"detailedplace__timing__drv__setup_violation_count": 0,
"detailedplace__timing__setup__tns": 0,
"detailedplace__timing__setup__ws": 8.13508,
"detailedroute__cpu__total": 79.92,
"detailedroute__flow__errors__count": 0,
"detailedroute__flow__warnings__count": 23,
"detailedroute__mem__peak": 1739032.0,
"detailedroute__route__drc_errors": 0,
"detailedroute__route__drc_errors__iter:1": 167,
"detailedroute__route__drc_errors__iter:2": 18,
"detailedroute__route__drc_errors__iter:3": 0,
"detailedroute__route__net": 441,
"detailedroute__route__net__special": 2,
"detailedroute__route__vias": 3183,
"detailedroute__route__vias__multicut": 0,
"detailedroute__route__vias__singlecut": 3183,
"detailedroute__route__wirelength": 1156,
"detailedroute__route__wirelength__iter:1": 1162,
"detailedroute__route__wirelength__iter:2": 1160,
"detailedroute__route__wirelength__iter:3": 1156,
"detailedroute__runtime__total": "0:23.03",
"fillcell__cpu__total": 8.83,
"fillcell__mem__peak": 391592.0,
"fillcell__runtime__total": "0:09.03",
"finish__clock__skew__hold": 5.87558,
"finish__clock__skew__setup": 3.33694,
"finish__cpu__total": 12.42,
"finish__design__core__area": 197.122,
"finish__design__die__area": 262.44,
"finish__design__instance__area": 47.7495,
"finish__design__instance__area__macros": 0,
"finish__design__instance__area__stdcell": 47.7495,
"finish__design__instance__count": 478,
"finish__design__instance__count__macros": 0,
"finish__design__instance__count__stdcell": 478,
"finish__design__instance__utilization": 0.242234,
"finish__design__instance__utilization__stdcell": 0.242234,
"finish__design__io": 54,
"finish__design_powergrid__drop__average__net:VDD__corner:default": 0.768816,
"finish__design_powergrid__drop__average__net:VSS__corner:default": 0.00143927,
"finish__design_powergrid__drop__worst__net:VDD__corner:default": 0.00311495,
"finish__design_powergrid__drop__worst__net:VSS__corner:default": 0.00387859,
"finish__design_powergrid__voltage__worst__net:VDD__corner:default": 0.766885,
"finish__design_powergrid__voltage__worst__net:VSS__corner:default": 0.00387859,
"finish__flow__errors__count": 0,
"finish__flow__warnings__count": 18,
"finish__mem__peak": 535128.0,
"finish__power__internal__total": 0.000674986,
"finish__power__leakage__total": 3.02983e-08,
"finish__power__switching__total": 0.000441131,
"finish__power__total": 0.00111615,
"finish__runtime__total": "0:13.14",
"finish__timing__drv__hold_violation_count": 0,
"finish__timing__drv__max_cap": 0,
"finish__timing__drv__max_cap_limit": 0.729426,
"finish__timing__drv__max_fanout": 0,
"finish__timing__drv__max_fanout_limit": 0,
"finish__timing__drv__max_slew": 0,
"finish__timing__drv__max_slew_limit": 0.703393,
"finish__timing__drv__setup_violation_count": 28,
"finish__timing__setup__tns": -337.231,
"finish__timing__setup__ws": -49.8946,
"finish__timing__wns_percent_delay": -13.787053,
"finish_merge__cpu__total": 1.24,
"finish_merge__mem__peak": 380268.0,
"finish_merge__runtime__total": "0:01.39",
"floorplan__cpu__total": 8.92,
"floorplan__design__core__area": 197.122,
"floorplan__design__die__area": 262.44,
"floorplan__design__instance__area": 37.2519,
"floorplan__design__instance__area__macros": 0,
"floorplan__design__instance__area__stdcell": 37.2519,
"floorplan__design__instance__count": 289,
"floorplan__design__instance__count__macros": 0,
"floorplan__design__instance__count__stdcell": 289,
"floorplan__design__instance__utilization": 0.188979,
"floorplan__design__instance__utilization__stdcell": 0.188979,
"floorplan__design__io": 54,
"floorplan__flow__errors__count": 0,
"floorplan__flow__warnings__count": 18,
"floorplan__mem__peak": 414908.0,
"floorplan__power__internal__total": 0.00055164,
"floorplan__power__leakage__total": 2.50812e-08,
"floorplan__power__switching__total": 0.000268359,
"floorplan__power__total": 0.000820024,
"floorplan__runtime__total": "0:09.17",
"floorplan__timing__setup__tns": 0,
"floorplan__timing__setup__ws": 28.6047,
"floorplan_io__cpu__total": 8.81,
"floorplan_io__mem__peak": 389444.0,
"floorplan_io__runtime__total": "0:09.02",
"floorplan_macro__cpu__total": 8.77,
"floorplan_macro__mem__peak": 390556.0,
"floorplan_macro__runtime__total": "0:09.02",
"floorplan_pdn__cpu__total": 8.83,
"floorplan_pdn__mem__peak": 391620.0,
"floorplan_pdn__runtime__total": "0:09.05",
"floorplan_tap__cpu__total": 8.79,
"floorplan_tap__mem__peak": 389432.0,
"floorplan_tap__runtime__total": "0:09.02",
"floorplan_tdms__cpu__total": 8.82,
"floorplan_tdms__mem__peak": 388980.0,
"floorplan_tdms__runtime__total": "0:09.05",
"flow__errors__count": 0,
"flow__warnings__count": 18,
"globalplace__cpu__total": 9.73,
"globalplace__design__core__area": 197.122,
"globalplace__design__die__area": 262.44,
"globalplace__design__instance__area": 40.2845,
"globalplace__design__instance__area__macros": 0,
"globalplace__design__instance__area__stdcell": 40.2845,
"globalplace__design__instance__count": 393,
"globalplace__design__instance__count__macros": 0,
"globalplace__design__instance__count__stdcell": 393,
"globalplace__design__instance__utilization": 0.204364,
"globalplace__design__instance__utilization__stdcell": 0.204364,
"globalplace__design__io": 54,
"globalplace__flow__errors__count": 0,
"globalplace__flow__warnings__count": 18,
"globalplace__mem__peak": 495600.0,
"globalplace__power__internal__total": 0.00055164,
"globalplace__power__leakage__total": 2.50812e-08,
"globalplace__power__switching__total": 0.000268359,
"globalplace__power__total": 0.000820024,
"globalplace__runtime__total": "0:09.99",
"globalplace__timing__setup__tns": 0,
"globalplace__timing__setup__ws": 28.6047,
"globalplace_io__cpu__total": 8.78,
"globalplace_io__mem__peak": 390200.0,
"globalplace_io__runtime__total": "0:08.99",
"globalplace_skip_io__cpu__total": 8.86,
"globalplace_skip_io__mem__peak": 390336.0,
"globalplace_skip_io__runtime__total": "0:09.09",
"globalroute__antenna__violating__nets": 0,
"globalroute__antenna__violating__pins": 0,
"globalroute__clock__skew__hold": 5.4392,
"globalroute__clock__skew__setup": 1.93837,
"globalroute__cpu__total": 9.21,
"globalroute__design__core__area": 197.122,
"globalroute__design__die__area": 262.44,
"globalroute__design__instance__area": 47.7495,
"globalroute__design__instance__area__macros": 0,
"globalroute__design__instance__area__stdcell": 47.7495,
"globalroute__design__instance__count": 478,
"globalroute__design__instance__count__hold_buffer": 0,
"globalroute__design__instance__count__macros": 0,
"globalroute__design__instance__count__setup_buffer": 0,
"globalroute__design__instance__count__stdcell": 478,
"globalroute__design__instance__displacement__max": 0,
"globalroute__design__instance__displacement__mean": 0,
"globalroute__design__instance__displacement__total": 0,
"globalroute__design__instance__utilization": 0.242234,
"globalroute__design__instance__utilization__stdcell": 0.242234,
"globalroute__design__io": 54,
"globalroute__design__violations": 0,
"globalroute__flow__errors__count": 0,
"globalroute__flow__warnings__count": 18,
"globalroute__mem__peak": 493032.0,
"globalroute__power__internal__total": 0.000674632,
"globalroute__power__leakage__total": 3.02983e-08,
"globalroute__power__switching__total": 0.000349954,
"globalroute__power__total": 0.00102462,
"globalroute__route__wirelength__estimated": 958.544,
"globalroute__runtime__total": "0:09.48",
"globalroute__timing__clock__slack": 0.177,
"globalroute__timing__drv__hold_violation_count": 0,
"globalroute__timing__drv__max_cap": 0,
"globalroute__timing__drv__max_cap_limit": 0.76805,
"globalroute__timing__drv__max_fanout": 0,
"globalroute__timing__drv__max_fanout_limit": 0,
"globalroute__timing__drv__max_slew": 0,
"globalroute__timing__drv__max_slew_limit": 0.75796,
"globalroute__timing__drv__setup_violation_count": 0,
"globalroute__timing__setup__tns": 0,
"globalroute__timing__setup__ws": 0.176664,
"placeopt__cpu__total": 9.16,
"placeopt__design__core__area": 197.122,
"placeopt__design__die__area": 262.44,
"placeopt__design__instance__area": 45.2855,
"placeopt__design__instance__area__macros": 0,
"placeopt__design__instance__area__stdcell": 45.2855,
"placeopt__design__instance__count": 446,
"placeopt__design__instance__count__macros": 0,
"placeopt__design__instance__count__stdcell": 446,
"placeopt__design__instance__utilization": 0.229734,
"placeopt__design__instance__utilization__stdcell": 0.229734,
"placeopt__design__io": 54,
"placeopt__flow__errors__count": 0,
"placeopt__flow__warnings__count": 18,
"placeopt__mem__peak": 422328.0,
"placeopt__power__internal__total": 0.000603455,
"placeopt__power__leakage__total": 2.87458e-08,
"placeopt__power__switching__total": 0.000299724,
"placeopt__power__total": 0.000903208,
"placeopt__runtime__total": "0:09.42",
"placeopt__timing__drv__floating__nets": 0,
"placeopt__timing__drv__floating__pins": 0,
"placeopt__timing__drv__hold_violation_count": 0,
"placeopt__timing__drv__max_cap": 0,
"placeopt__timing__drv__max_cap_limit": 0.727651,
"placeopt__timing__drv__max_fanout": 0,
"placeopt__timing__drv__max_fanout_limit": 0,
"placeopt__timing__drv__max_slew": 0,
"placeopt__timing__drv__max_slew_limit": 0.716403,
"placeopt__timing__drv__setup_violation_count": 0,
"placeopt__timing__setup__tns": 0,
"placeopt__timing__setup__ws": 8.13508,
"run__flow__design": "gcd-ccs",
"run__flow__generate_date": "2024-05-09 21:02",
"run__flow__metrics_version": "Metrics_2.1.2",
"run__flow__openroad_commit": "N/A",
"run__flow__openroad_version": "v2.0-13705-gc7f241bf8",
"run__flow__platform": "asap7",
"run__flow__platform__capacitance_units": "1fF",
"run__flow__platform__current_units": "1mA",
"run__flow__platform__distance_units": "1um",
"run__flow__platform__power_units": "1pW",
"run__flow__platform__resistance_units": "1kohm",
"run__flow__platform__time_units": "1ps",
"run__flow__platform__voltage_units": "1v",
"run__flow__platform_commit": "f7b5958b22b1d93898a5861ea2749f62c664eaaa",
"run__flow__scripts_commit": "f7b5958b22b1d93898a5861ea2749f62c664eaaa",
"run__flow__uuid": "88ddecf6-57d1-4e0f-88bd-3d2e735b63f7",
"run__flow__variant": "base",
"synth__cpu__total": 18.84,
"synth__design__instance__area__stdcell": 38.38914,
"synth__design__instance__count__stdcell": 302.0,
"synth__mem__peak": 915524.0,
"synth__runtime__total": "0:19.98",
"total_time": "0:03:09"
}
Loading
Loading