Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

In sat: 'x' in init attr should be ignored #1325

Merged
merged 5 commits into from
Aug 27, 2019
Merged

Conversation

eddiehung
Copy link
Collaborator

@eddiehung eddiehung commented Aug 22, 2019

Attached testcase works if sat -enable_undef is specified, even though it shouldn't be necessary as there is no ambiguity.

Also removal of one colon is necessary otherwise signal is collapsed and no init.

tests/sat/initval.v Outdated Show resolved Hide resolved
passes/sat/sat.cc Outdated Show resolved Hide resolved
@eddiehung eddiehung changed the title In sat: 'x' in init attr should not override constant In sat: 'x' in init attr should be ignored Aug 27, 2019
@cliffordwolf cliffordwolf merged commit 70c0cdd into master Aug 27, 2019
@cliffordwolf cliffordwolf deleted the eddie/sat_init branch August 27, 2019 22:18
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants