Skip to content

ad-astra-et-ultra/RISC-V-CPU-Core

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

18 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

RISC-V-CPU-Core

A single cycle MIPS RISC-V CPU Core using Verilog

Abstract

RISC-V is an open source Instruction Set Architecture (ISA). In this project I have implemented a 32-bit, RISC-V ISA based processor in verilog and verified execution of instructions in RISC-V ISA. The sub-modules that are used and their interaction with each other are shown in the following picture.

Screenshot (82)

Final datapath in Xilinx Vivado

Screenshot (78)

Datapath without bundled nets

Screenshot (79)

Output waveforms in Xilinx Vivado

Screenshot (80)

Screenshot (81)

Synthesized design of the processor in Xilinx Vivado

image

image

image

image

Releases

No releases published

Packages

No packages published