Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

feat: DW_lbsh wrapper in Chisel #92

Merged
merged 1 commit into from
May 29, 2024
Merged

feat: DW_lbsh wrapper in Chisel #92

merged 1 commit into from
May 29, 2024

Conversation

SingularityKChen
Copy link
Contributor

  • write the Chisel wrapper for DW_lbsh;

upickle.default.macroRW[Parameter]
}

case class Parameter(AWidth: Int = 49, SHWidth: Int = 6)
Copy link
Member

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Suggested change
case class Parameter(AWidth: Int = 49, SHWidth: Int = 6)
case class Parameter(aWidth: Int = 49, shWidth: Int = 6)

Copy link
Contributor Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Done

+ write the Chisel wrapper for DW_lbsh;
@sequencer sequencer added this pull request to the merge queue May 29, 2024
Merged via the queue into master with commit a5cff39 May 29, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants